aboutsummaryrefslogtreecommitdiffstats
path: root/tests/test_trapd_vnf_table.py
diff options
context:
space:
mode:
authorMichal Jagiello <michal.jagiello@t-mobile.pl>2020-07-27 10:02:19 +0000
committerMichal Jagiello <michal.jagiello@t-mobile.pl>2020-07-31 13:21:08 +0000
commite97a5ce265f7e1d3380fab9c4132e2b002e8636c (patch)
tree416c4c60a339419d06b6ae165b9231c16fa44f45 /tests/test_trapd_vnf_table.py
parenta9e77b57b3c1f326ddf6f29e2c89765344e53b99 (diff)
Run in Python 3.8
Use image recommended by SECCOM Issue-ID: DCAEGEN2-2292 Signed-off-by: Michal Jagiello <michal.jagiello@t-mobile.pl> Change-Id: I8d77f150c9fe314bf26bac2c9fac7ebc9782c9d8
Diffstat (limited to 'tests/test_trapd_vnf_table.py')
-rw-r--r--tests/test_trapd_vnf_table.py11
1 files changed, 6 insertions, 5 deletions
diff --git a/tests/test_trapd_vnf_table.py b/tests/test_trapd_vnf_table.py
index 4f5cace..d0bf51c 100644
--- a/tests/test_trapd_vnf_table.py
+++ b/tests/test_trapd_vnf_table.py
@@ -3,6 +3,7 @@
# ================================================================================
# Copyright (c) 2017-2020 AT&T Intellectual Property. All rights reserved.
# Copyright (c) 2019 Pantheon.tech. All rights reserved.
+# Copyright 2020 Deutsche Telekom. All rights reserved.
# ================================================================================
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
@@ -26,11 +27,11 @@ import unittest
import sys
import pytest
import logging
-from miss_htbt_service import misshtbtd as db
-from miss_htbt_service import htbtworker as pm
-from miss_htbt_service import db_monitoring as dbmon
-from miss_htbt_service import get_logger
-from miss_htbt_service.mod.trapd_vnf_table import (
+import misshtbtd as db
+import htbtworker as pm
+import db_monitoring as dbmon
+import get_logger
+from mod.trapd_vnf_table import (
verify_DB_creation_1, verify_DB_creation_2, verify_DB_creation_hb_common,
verify_cbsPolling_required, hb_properties, verify_cbspolling,
verify_sendControlLoop_VNF_ONSET, verify_sendControlLoop_VM_ONSET,