aboutsummaryrefslogtreecommitdiffstats
path: root/components/model-catalog/blueprint-model/test-blueprint/capability_restconf/Templates/config-assign-restconf-configlet-template.vtl
diff options
context:
space:
mode:
authorAlexis de Talhouët <adetalhouet89@gmail.com>2019-03-26 09:49:13 -0400
committerAlexis de Talhouët <adetalhouet89@gmail.com>2019-03-26 09:49:13 -0400
commit72d22a4339db09824d46746dacbba1e141763dc0 (patch)
tree7fbfea4b81afca0bc0c95e5d4b810f236f4dea29 /components/model-catalog/blueprint-model/test-blueprint/capability_restconf/Templates/config-assign-restconf-configlet-template.vtl
parentef6983b670796becfa66c69075c1829e8f9aef4b (diff)
Migrate ccdsk/apps to ccsdk/cds
Change-Id: I020a2ccec4e691717f888e8bd2afec91a7c4e987 Issue-ID: CCSDK-1178 Signed-off-by: Alexis de Talhouët <adetalhouet89@gmail.com>
Diffstat (limited to 'components/model-catalog/blueprint-model/test-blueprint/capability_restconf/Templates/config-assign-restconf-configlet-template.vtl')
-rw-r--r--components/model-catalog/blueprint-model/test-blueprint/capability_restconf/Templates/config-assign-restconf-configlet-template.vtl37
1 files changed, 0 insertions, 37 deletions
diff --git a/components/model-catalog/blueprint-model/test-blueprint/capability_restconf/Templates/config-assign-restconf-configlet-template.vtl b/components/model-catalog/blueprint-model/test-blueprint/capability_restconf/Templates/config-assign-restconf-configlet-template.vtl
deleted file mode 100644
index 3812380e..00000000
--- a/components/model-catalog/blueprint-model/test-blueprint/capability_restconf/Templates/config-assign-restconf-configlet-template.vtl
+++ /dev/null
@@ -1,37 +0,0 @@
-{
- "ietf-restconf:yang-patch":{
- "patch-id":"patch-1",
- "edit":[
- {
- "edit-id":"edit1",
- "operation":"merge",
- "target":"/",
- "value":{
- "netconflist":{
- "netconf":[
- {
- "netconf-id":"40",
- "netconf-param":"4040"
- }
- ]
- }
- }
- },
- {
- "edit-id":"edit2",
- "operation":"merge",
- "target":"/",
- "value":{
- "netconflist":{
- "netconf":[
- {
- "netconf-id":"50",
- "netconf-param":"98765"
- }
- ]
- }
- }
- }
- ]
- }
-} \ No newline at end of file