summaryrefslogtreecommitdiffstats
path: root/sdc-workflow-designer-ui/src/app/components/property/intermediate-catch-event/intermediate-catch-event.component.html
blob: 3395a6ee853aaf5ae1c5184f556a147b3ce91b2a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
<!--
/*******************************************************************************
 * Copyright (c) 2017 ZTE Corporation.
 * All rights reserved. This program and the accompanying materials
 * are made available under the terms of the Eclipse Public License v1.0
 * and the Apache License 2.0 which both accompany this distribution,
 * and are available at http://www.eclipse.org/legal/epl-v10.html
 * and http://www.apache.org/licenses/LICENSE-2.0
 *
 * Contributors:
 *     ZTE - initial API and implementation and/or initial documentation
 *******************************************************************************/
-->

<div class="form-group row">
    <label class="col-md-3 form-control-label text-md-right">{{'WORKFLOW.TIMER_TYPE' | translate}}</label>
    <div class="col-md-9">
        <p-radioButton name="timeType" label="Duration" value="timeDuration" [ngModel]="node.timerEventDefinition.type" (ngModelChange)="timerTypeChange($event)">Duration</p-radioButton>
        <p-radioButton name="timeType" label="Date" value="timeDate" [(ngModel)]="node.timerEventDefinition.type">Date</p-radioButton>
        <p-radioButton name="timeType" label="Cycle" value="timeCycle" [(ngModel)]="node.timerEventDefinition.type">Cycle</p-radioButton>
    </div>
</div>

<div *ngIf="node.timerEventDefinition.type === 'timeDuration'" class="form-group row">
    <label class="col-md-3 form-control-label text-md-right">{{'WORKFLOW.TIMER_DURATION' | translate}}</label>
    <div class="col-md-9">
        <input type="text" class="form-control" [(ngModel)]="node.timerEventDefinition.timeDuration">
        <label>eg: P1Y3M5DT6H7M30S</label>
    </div>
</div>

<div *ngIf="node.timerEventDefinition.type === 'timeDate'" class="form-group row">
    <label class="col-md-3 form-control-label text-md-right">{{'WORKFLOW.TIMER_DATE' | translate}}</label>
    <div class="col-md-9">
        <input type="text" class="form-control" [(ngModel)]="node.timerEventDefinition.timeDate">
        <label>eg: 2007-04-05T12:30-02:00</label>
        <!--
        <p-calendar [(ngModel)]="node.timerEventDefinition.timeDate" [showIcon]="true" [showTime]="true" [showSeconds]="true"></p-calendar>
        -->
    </div>
</div>

<div *ngIf="node.timerEventDefinition.type === 'timeCycle'" class="form-group row">
    <label class="col-md-3 form-control-label text-md-right">{{'WORKFLOW.TIMER_CYCLE' | translate}}</label>
    <div class="col-md-9">
        <input type="text"  class="form-control" [(ngModel)]="node.timerEventDefinition.timeCycle">
        <label>eg: R5/P1Y2M10DT2H30M</label>
    </div>
</div>