summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/pom.xml75
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/main/java/com/att/sdc/tosca/datatypes/AttToscaPolicyType.java23
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/main/java/com/att/sdc/translator/services/heattotosca/impl/resourcetranslation/ResourceTranslationValetGroupAssignmentImpl.java301
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/main/resources/config-merge-heatToToscaMapping.json15
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/main/resources/config-merge-heatToToscaTranslator.json13
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/main/resources/config-override-heatToToscaTranslator.json36
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/java/com/att/sdc/translator/services/heattotosca/impl/resourcetranslation/BaseResourceTranslationTest.java326
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/java/com/att/sdc/translator/services/heattotosca/impl/resourcetranslation/ResourceTranslationValetGroupAssignmentImplTest.java167
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/logback.xml13
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/complexNovaAndGroup/expectedoutputfiles/MainServiceTemplate.yaml304
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/complexNovaAndGroup/inputfiles/MANIFEST.json12
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/complexNovaAndGroup/inputfiles/main.yml144
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/complexOnlyGroup/expectedoutputfiles/MainServiceTemplate.yaml292
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/complexOnlyGroup/inputfiles/MANIFEST.json12
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/complexOnlyGroup/inputfiles/main.yml124
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/complexTwoGroups/expectedoutputfiles/MainServiceTemplate.yaml303
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/complexTwoGroups/inputfiles/MANIFEST.json12
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/complexTwoGroups/inputfiles/main.yml132
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/dynamicGroup/expectedoutputfiles/MainServiceTemplate.yaml202
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/dynamicGroup/inputfiles/MANIFEST.json12
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/dynamicGroup/inputfiles/main.yml111
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/expectedoutputfiles/MainServiceTemplate.yaml610
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/MANIFEST.json28
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/vIPR-ATM-Base.env14
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/vIPR-ATM-Base.yaml318
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/vIPR-ATM-Instance.env28
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/vIPR-ATM-Instance.yaml299
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/invalidGroupAssignment/expectedoutputfiles/MainServiceTemplate.yaml292
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/invalidGroupAssignment/inputfiles/MANIFEST.json12
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/invalidGroupAssignment/inputfiles/main.yml132
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/notconnected/expectedoutputfiles/MainServiceTemplate.yaml209
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/notconnected/inputfiles/MANIFEST.json12
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/notconnected/inputfiles/main.yml88
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleNovaAndNotSupportedResource/expectedoutputfiles/MainServiceTemplate.yaml209
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleNovaAndNotSupportedResource/inputfiles/MANIFEST.json12
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleNovaAndNotSupportedResource/inputfiles/main.yml96
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNotSupportedResources/expectedoutputfiles/MainServiceTemplate.yaml209
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNotSupportedResources/inputfiles/MANIFEST.json12
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNotSupportedResources/inputfiles/main.yml103
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNova/expectedoutputfiles/MainServiceTemplate.yaml210
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNova/inputfiles/MANIFEST.json12
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNova/inputfiles/main.yml91
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlySingleNova/expectedoutputfiles/MainServiceTemplate.yaml209
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlySingleNova/inputfiles/MANIFEST.json12
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlySingleNova/inputfiles/main.yml90
-rw-r--r--openecomp-be/lib/openecomp-sdc-translator-lib/pom.xml1
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/pom.xml92
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/datatypes/AttHeatResourceTypes.java53
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/datatypes/AttLoggerErrorDescription.java9
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/datatypes/AttLoggerTargetServiceName.java9
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/datatypes/AttValetGroupTypeValues.java53
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/impl/validators/AttValetGroupAssignmentResourceValidator.java60
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/messages/Messages.java20
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/resources/config-merge-validaton.json18
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/java/com/att/sdc/validation/impl/validators/AttValetGroupAssignmentResourceValidatorTest.java59
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative/MANIFEST.json27
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative/first.env0
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative/first.yaml22
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative/second.yaml14
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive/MANIFEST.json27
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive/first.env0
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive/first.yaml17
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive/second.yaml14
-rw-r--r--openecomp-be/lib/openecomp-sdc-validation-lib/pom.xml1
64 files changed, 0 insertions, 6432 deletions
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/pom.xml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/pom.xml
deleted file mode 100644
index 12fd1179c5..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/pom.xml
+++ /dev/null
@@ -1,75 +0,0 @@
-<project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
- xmlns="http://maven.apache.org/POM/4.0.0"
- xsi:schemaLocation="http://maven.apache.org/POM/4.0.0 http://maven.apache.org/xsd/maven-4.0.0.xsd">
- <modelVersion>4.0.0</modelVersion>
-
- <name>att-sdc-translator-impl</name>
- <artifactId>att-sdc-translator-impl</artifactId>
-
-
- <parent>
- <groupId>org.openecomp.sdc</groupId>
- <artifactId>openecomp-sdc-lib</artifactId>
- <version>1.2.0-SNAPSHOT</version>
- <relativePath>../..</relativePath>
- </parent>
-
- <dependencies>
- <dependency>
- <groupId>ch.qos.logback</groupId>
- <artifactId>logback-classic</artifactId>
- <version>${logback.version}</version>
- </dependency>
-<!-- <dependency>
- <groupId>log4j</groupId>
- <artifactId>log4j</artifactId>
- <version>1.2.17</version>
- </dependency >-->
- <dependency>
- <groupId>junit</groupId>
- <artifactId>junit</artifactId>
- <version>${junit.version}</version>
- <scope>test</scope>
- </dependency>
- <dependency>
- <groupId>org.openecomp.sdc</groupId>
- <artifactId>openecomp-sdc-translator-api</artifactId>
- <version>${project.version}</version>
- </dependency>
- <dependency>
- <groupId>org.openecomp.sdc.core</groupId>
- <artifactId>openecomp-heat-lib</artifactId>
- <version>${project.version}</version>
- </dependency>
- <dependency>
- <groupId>org.openecomp.sdc.core</groupId>
- <artifactId>openecomp-tosca-lib</artifactId>
- <version>${project.version}</version>
- </dependency>
- <dependency>
- <groupId>org.openecomp.sdc.common</groupId>
- <artifactId>openecomp-tosca-datatype</artifactId>
- <version>${openecomp.sdc.common.version}</version>
- </dependency>
-
-
- <!-- need to be changed to sdk -->
- <dependency>
- <groupId>org.openecomp.sdc</groupId>
- <artifactId>openecomp-sdc-translator-core</artifactId>
- <version>${project.version}</version>
- </dependency>
- </dependencies>
- <build>
- <plugins>
- <plugin>
- <groupId>org.apache.maven.plugins</groupId>
- <artifactId>maven-surefire-plugin</artifactId>
- <version>${mvn.surefire.version}</version>
- <configuration>
- <useSystemClassLoader>false</useSystemClassLoader>
- </configuration>
- </plugin>
- </plugins>
- </build>
-</project>
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/main/java/com/att/sdc/tosca/datatypes/AttToscaPolicyType.java b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/main/java/com/att/sdc/tosca/datatypes/AttToscaPolicyType.java
deleted file mode 100644
index fd893730c4..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/main/java/com/att/sdc/tosca/datatypes/AttToscaPolicyType.java
+++ /dev/null
@@ -1,23 +0,0 @@
-package com.att.sdc.tosca.datatypes;
-
<?xml version="1.0" encoding="UTF-8"?>
<bpmn2:definitions xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xmlns:bpmn2="http://www.omg.org/spec/BPMN/20100524/MODEL" xmlns:bpmndi="http://www.omg.org/spec/BPMN/20100524/DI" xmlns:camunda="http://camunda.org/schema/1.0/bpmn" xmlns:dc="http://www.omg.org/spec/DD/20100524/DC" xmlns:di="http://www.omg.org/spec/DD/20100524/DI" id="_MagIIMOUEeW8asg-vCEgWQ" targetNamespace="http://camunda.org/schema/1.0/bpmn" exporter="Camunda Modeler" exporterVersion="1.9.0" xsi:schemaLocation="http://www.omg.org/spec/BPMN/20100524/MODEL BPMN20.xsd">
  <bpmn2:process id="CreateVcpeResCustService" name="CreateVcpeResCustService" isExecutable="true">
    <bpmn2:scriptTask id="sendSyncAckResponse_ScriptTask" name="Send Sync Ack Response" scriptFormat="groovy">
      <bpmn2:incoming>SequenceFlow_7</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_3</bpmn2:outgoing>
      <bpmn2:script><![CDATA[import org.openecomp.mso.bpmn.vcpe.scripts.*
def CreateVcpeResCustService = new CreateVcpeResCustService()
CreateVcpeResCustService.sendSyncResponse(execution)]]></bpmn2:script>
    </bpmn2:scriptTask>
    <bpmn2:sequenceFlow id="SequenceFlow_3" name="" sourceRef="sendSyncAckResponse_ScriptTask" targetRef="IntermediateThrowEvent_2" />
    <bpmn2:startEvent id="createVCPE_startEvent" name="Start Flow">
      <bpmn2:outgoing>SequenceFlow_1</bpmn2:outgoing>
    </bpmn2:startEvent>
    <bpmn2:sequenceFlow id="SequenceFlow_1" name="" sourceRef="createVCPE_startEvent" targetRef="preProcessRequest_ScriptTask" />
    <bpmn2:scriptTask id="preProcessRequest_ScriptTask" name="PreProcess Incoming Request" scriptFormat="groovy">
      <bpmn2:incoming>SequenceFlow_1</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_7</bpmn2:outgoing>
      <bpmn2:script><![CDATA[import org.openecomp.mso.bpmn.vcpe.scripts.*
def CreateVcpeResCustService = new CreateVcpeResCustService()
CreateVcpeResCustService.preProcessRequest(execution)
]]></bpmn2:script>
    </bpmn2:scriptTask>
    <bpmn2:sequenceFlow id="SequenceFlow_7" name="" sourceRef="preProcessRequest_ScriptTask" targetRef="sendSyncAckResponse_ScriptTask" />
    <bpmn2:intermediateCatchEvent id="IntermediateCatchEvent_3" name="vCPE-RESCUST">
      <bpmn2:outgoing>SequenceFlow_1eu60rt</bpmn2:outgoing>
      <bpmn2:linkEventDefinition id="_LinkEventDefinition_37" name="vCPE-RESCUST" />
    </bpmn2:intermediateCatchEvent>
    <bpmn2:scriptTask id="postProcessAndCompletionRequest_ScriptTask" name="Post Process &#38; Completion Request" scriptFormat="groovy">
      <bpmn2:incoming>SequenceFlow_0afe2pg</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_29</bpmn2:outgoing>
      <bpmn2:script><![CDATA[import org.openecomp.mso.bpmn.vcpe.scripts.*
def CreateVcpeResCustService = new CreateVcpeResCustService()
CreateVcpeResCustService.postProcessResponse(execution)]]></bpmn2:script>
    </bpmn2:scriptTask>
    <bpmn2:sequenceFlow id="SequenceFlow_29" name="" sourceRef="postProcessAndCompletionRequest_ScriptTask" targetRef="callCompleteMsoProcess_CallActivity" />
    <bpmn2:callActivity id="callCompleteMsoProcess_CallActivity" name="Call CompleteMsoProcess" calledElement="CompleteMsoProcess">
      <bpmn2:extensionElements>
        <camunda:in variables="all" />
        <camunda:out variables="all" />
        <camunda:in source="CVRCS_CompleteMsoProcessRequest" target="CompleteMsoProcessRequest" />
        <camunda:in source="mso-request-id" target="requestId" />
        <camunda:in source="serviceInstanceId" target="serviceInstanceId" />
        <camunda:out source="CMSO_ResponseCode" target="CMSO_ResponseCode" />
        <camunda:out source="CompleteMsoProcessResponse" target="CompleteMsoProcessResponse" />
        <camunda:out source="CMSO_ErrorResponse" target="CMSO_ErrorResponse" />
      </bpmn2:extensionElements>
      <bpmn2:incoming>SequenceFlow_29</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_8</bpmn2:outgoing>
    </bpmn2:callActivity>
    <bpmn2:sequenceFlow id="SequenceFlow_8" name="" sourceRef="callCompleteMsoProcess_CallActivity" targetRef="ScriptTask_2" />
    <bpmn2:scriptTask id="ScriptTask_2" name="Set Success Indicator" scriptFormat="groovy">
      <bpmn2:incoming>SequenceFlow_8</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_6</bpmn2:outgoing>
      <bpmn2:script><![CDATA[// The following variable is checked by the unit test
execution.setVariable("CreateVcpeResCustServiceSuccessIndicator", true)]]></bpmn2:script>
    </bpmn2:scriptTask>
    <bpmn2:sequenceFlow id="SequenceFlow_6" name="" sourceRef="ScriptTask_2" targetRef="CreateVCPE_EndEvent" />
    <bpmn2:endEvent id="CreateVCPE_EndEvent" name="End">
      <bpmn2:incoming>SequenceFlow_6</bpmn2:incoming>
      <bpmn2:terminateEventDefinition id="_TerminateEventDefinition_13" />
    </bpmn2:endEvent>
    <bpmn2:subProcess id="UnexpectedError_SubProcess_1" name="Sub-process for UnexpectedErrors" triggeredByEvent="true">
      <bpmn2:startEvent id="StartEvent_1">
        <bpmn2:outgoing>SequenceFlow_2</bpmn2:outgoing>
        <bpmn2:errorEventDefinition id="_ErrorEventDefinition_92" errorRef="Error_1" />
      </bpmn2:startEvent>
      <bpmn2:endEvent id="EndEvent_1">
        <bpmn2:incoming>SequenceFlow_5</bpmn2:incoming>
      </bpmn2:endEvent>
      <bpmn2:sequenceFlow id="SequenceFlow_2" name="" sourceRef="StartEvent_1" targetRef="ScriptTask_1" />
      <bpmn2:scriptTask id="ScriptTask_1" name="Log / Print Unexpected Error" scriptFormat="groovy">
        <bpmn2:incoming>SequenceFlow_2</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_5</bpmn2:outgoing>
        <bpmn2:script><![CDATA[import org.openecomp.mso.bpmn.common.scripts.*
ExceptionUtil ex = new ExceptionUtil()
ex.processJavaException(execution)]]></bpmn2:script>
      </bpmn2:scriptTask>
      <bpmn2:sequenceFlow id="SequenceFlow_5" name="" sourceRef="ScriptTask_1" targetRef="EndEvent_1" />
    </bpmn2:subProcess>
    <bpmn2:intermediateCatchEvent id="IntermediateCatchEvent_4" name="FinishProcess">
      <bpmn2:outgoing>SequenceFlow_12ilko1</bpmn2:outgoing>
      <bpmn2:linkEventDefinition id="_LinkEventDefinition_39" name="FinishProcess" />
    </bpmn2:intermediateCatchEvent>
    <bpmn2:callActivity id="doCreateServiceInstance_CallActivity" name="Call Create &#10;ServiceInstance&#10;" calledElement="DoCreateServiceInstance">
      <bpmn2:extensionElements>
        <camunda:in source="msoRequestId" target="msoRequestId" />
        <camunda:in source="globalSubscriberId" target="globalSubscriberId" />
        <camunda:in source="subscriptionServiceType" target="subscriptionServiceType" />
        <camunda:in source="serviceInstanceId" target="serviceInstanceId" />
        <camunda:out source="rollbackData" target="DCRESI_rollbackData" />
        <camunda:in source="serviceInstanceName" target="serviceInstanceName" />
        <camunda:in source="serviceModelInfo" target="serviceModelInfo" />
        <camunda:in source="failIfExists" target="failIfExists" />
        <camunda:in source="disableRollback" target="disableRollback" />
        <camunda:in source="serviceInputParams" target="serviceInputParams" />
        <camunda:out source="rolledBack" target="rolledBack" />
        <camunda:out source="WorkflowException" target="WorkflowException" />
        <camunda:out source="serviceInstanceName" target="serviceInstanceName" />
        <camunda:in source="isDebugLogEnabled" target="isDebugLogEnabled" />
        <camunda:in source="subscriptionServiceType" target="subscriptionServiceType" />
        <camunda:in source="productFamilyId" target="productFamilyId" />
        <camunda:in source="sdncVersion" target="sdncVersion" />
      </bpmn2:extensionElements>
      <bpmn2:incoming>SequenceFlow_0j6sjye</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_1ky2sv9</bpmn2:outgoing>
    </bpmn2:callActivity>
    <bpmn2:intermediateThrowEvent id="IntermediateThrowEvent_2" name="GoTovCPE-RESCUST">
      <bpmn2:incoming>SequenceFlow_3</bpmn2:incoming>
      <bpmn2:linkEventDefinition id="_LinkEventDefinition_38" name="vCPE-RESCUST" />
    </bpmn2:intermediateThrowEvent>
    <bpmn2:subProcess id="SubProcess_0s6hpty" name="Subprocess For Exception / FalloutHandler" triggeredByEvent="true">
      <bpmn2:exclusiveGateway id="ExclusiveGateway_1vwgs6p" name="Is Rollback On?" default="SequenceFlow_0dhf2js">
        <bpmn2:incoming>SequenceFlow_0zq7i3q</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_0zpbskl</bpmn2:outgoing>
        <bpmn2:outgoing>SequenceFlow_0dhf2js</bpmn2:outgoing>
      </bpmn2:exclusiveGateway>
      <bpmn2:startEvent id="StartEvent_1bwmffk" name="Fault Start">
        <bpmn2:outgoing>SequenceFlow_0zq7i3q</bpmn2:outgoing>
        <bpmn2:errorEventDefinition />
      </bpmn2:startEvent>
      <bpmn2:exclusiveGateway id="ExclusiveGateway_0ydrtdx" name="isPONR?" default="SequenceFlow_02o4yqx">
        <bpmn2:incoming>SequenceFlow_0zpbskl</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_1sx5llu</bpmn2:outgoing>
        <bpmn2:outgoing>SequenceFlow_02o4yqx</bpmn2:outgoing>
      </bpmn2:exclusiveGateway>
      <bpmn2:exclusiveGateway id="ExclusiveGateway_1kvn1pz" name="is AR_TXC Ok?" default="SequenceFlow_19mxskt">
        <bpmn2:incoming>SequenceFlow_0t3mtod</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_19mxskt</bpmn2:outgoing>
        <bpmn2:outgoing>SequenceFlow_1sl79hn</bpmn2:outgoing>
      </bpmn2:exclusiveGateway>
      <bpmn2:callActivity id="CallActivity_0jw5tqa" name="Call FalloutHandlerV1" calledElement="FalloutHandler">
        <bpmn2:extensionElements>
          <camunda:in source="CVRCS_falloutRequest" target="FalloutHandlerRequest" />
          <camunda:in source="msoRequestId" target="mso-request-id" />
          <camunda:in source="serviceInstanceId" target="mso-service-instance-id" />
          <camunda:out source="FH_ResponseCode" target="FH_ResponseCode" />
          <camunda:out source="FalloutHandlerResponse" target="FalloutHandlerResponse" />
          <camunda:out source="FH_ErrorResponse" target="FH_ErrorResponse" />
        </bpmn2:extensionElements>
        <bpmn2:incoming>SequenceFlow_0807ukc</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_19yywk8</bpmn2:outgoing>
      </bpmn2:callActivity>
      <bpmn2:endEvent id="EndEvent_04xute7">
        <bpmn2:incoming>SequenceFlow_19yywk8</bpmn2:incoming>
      </bpmn2:endEvent>
      <bpmn2:scriptTask id="ScriptTask_0yk02h3" name="Prepare FalloutHandler" scriptFormat="groovy">
        <bpmn2:incoming>SequenceFlow_0jg47xm</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_0807ukc</bpmn2:outgoing>
        <bpmn2:script><![CDATA[import org.openecomp.mso.bpmn.vcpe.scripts.*
def CreateVcpeResCustService = new CreateVcpeResCustService()
CreateVcpeResCustService.prepareFalloutRequest(execution)]]></bpmn2:script>
      </bpmn2:scriptTask>
      <bpmn2:sequenceFlow id="SequenceFlow_0zpbskl" name="Yes" sourceRef="ExclusiveGateway_1vwgs6p" targetRef="ExclusiveGateway_0ydrtdx">
        <bpmn2:conditionExpression xsi:type="bpmn2:tFormalExpression"><![CDATA[#{execution.getVariable("disableRollback") != true}]]></bpmn2:conditionExpression>
      </bpmn2:sequenceFlow>
      <bpmn2:sequenceFlow id="SequenceFlow_0dhf2js" name="No" sourceRef="ExclusiveGateway_1vwgs6p" targetRef="InclusiveGateway_0foywso" />
      <bpmn2:sequenceFlow id="SequenceFlow_1sx5llu" name="Yes" sourceRef="ExclusiveGateway_0ydrtdx" targetRef="InclusiveGateway_0foywso">
        <bpmn2:conditionExpression xsi:type="bpmn2:tFormalExpression"><![CDATA[#{execution.getVariable("PONR") == true}]]></bpmn2:conditionExpression>
      </bpmn2:sequenceFlow>
      <bpmn2:sequenceFlow id="SequenceFlow_02o4yqx" name="No" sourceRef="ExclusiveGateway_0ydrtdx" targetRef="ScriptTask_17doerz" />
      <bpmn2:sequenceFlow id="SequenceFlow_19mxskt" name="No" sourceRef="ExclusiveGateway_1kvn1pz" targetRef="ExclusiveGateway_05indeh" />
      <bpmn2:callActivity id="DoRollbackService_CallActivity" name="DoRollback&#10;Service&#10;" calledElement="DoCreateServiceInstanceRollback">
        <bpmn2:extensionElements>
          <camunda:in source="DCRESI_rollbackData" target="rollbackData" />
          <camunda:in source="msoRequestId" target="msoRequestId" />
          <camunda:out source="rollbackSuccessful" target="DCRESI_rollbackSuccessful" />
          <camunda:out source="rollbackError" target="DCRESI_rollbackError" />
          <camunda:in source="sdncVersion" target="sdncVersion" />
        </bpmn2:extensionElements>
        <bpmn2:incoming>SequenceFlow_1a7e8l1</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_1f1hd3l</bpmn2:outgoing>
      </bpmn2:callActivity>
      <bpmn2:inclusiveGateway id="InclusiveGateway_0foywso">
        <bpmn2:incoming>SequenceFlow_1sx5llu</bpmn2:incoming>
        <bpmn2:incoming>SequenceFlow_0dhf2js</bpmn2:incoming>
        <bpmn2:incoming>SequenceFlow_1rabks0</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_0jg47xm</bpmn2:outgoing>
      </bpmn2:inclusiveGateway>
      <bpmn2:sequenceFlow id="SequenceFlow_1f1hd3l" sourceRef="DoRollbackService_CallActivity" targetRef="InclusiveGateway_0m9f5ka" />
      <bpmn2:sequenceFlow id="SequenceFlow_0jg47xm" sourceRef="InclusiveGateway_0foywso" targetRef="ScriptTask_0yk02h3" />
      <bpmn2:sequenceFlow id="SequenceFlow_0807ukc" sourceRef="ScriptTask_0yk02h3" targetRef="CallActivity_0jw5tqa" />
      <bpmn2:sequenceFlow id="SequenceFlow_19yywk8" sourceRef="CallActivity_0jw5tqa" targetRef="EndEvent_04xute7" />
      <bpmn2:exclusiveGateway id="ExclusiveGateway_05indeh" name="Service Rollback present?" default="SequenceFlow_0sezboq">
        <bpmn2:incoming>SequenceFlow_19mxskt</bpmn2:incoming>
        <bpmn2:incoming>SequenceFlow_17cz98f</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_0sezboq</bpmn2:outgoing>
        <bpmn2:outgoing>SequenceFlow_1a7e8l1</bpmn2:outgoing>
      </bpmn2:exclusiveGateway>
      <bpmn2:sequenceFlow id="SequenceFlow_0sezboq" name="No" sourceRef="ExclusiveGateway_05indeh" targetRef="InclusiveGateway_0m9f5ka" />
      <bpmn2:sequenceFlow id="SequenceFlow_0zq7i3q" name="" sourceRef="StartEvent_1bwmffk" targetRef="ExclusiveGateway_1vwgs6p" />
      <bpmn2:inclusiveGateway id="InclusiveGateway_0m9f5ka">
        <bpmn2:incoming>SequenceFlow_1f1hd3l</bpmn2:incoming>
        <bpmn2:incoming>SequenceFlow_0sezboq</bpmn2:incoming>
        <bpmn2:incoming>SequenceFlow_1mbymcu</bpmn2:incoming>
        <bpmn2:incoming>SequenceFlow_00by7l7</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_1lv5ld6</bpmn2:outgoing>
      </bpmn2:inclusiveGateway>
      <bpmn2:scriptTask id="ScriptTask_17doerz" name="Pre Process Rollback" scriptFormat="groovy">
        <bpmn2:incoming>SequenceFlow_02o4yqx</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_0ftzjjm</bpmn2:outgoing>
        <bpmn2:script><![CDATA[import org.openecomp.mso.bpmn.vcpe.scripts.*
def CreateVcpeResCustService= new CreateVcpeResCustService()
CreateVcpeResCustService.preProcessRollback(execution)]]></bpmn2:script>
      </bpmn2:scriptTask>
      <bpmn2:scriptTask id="ScriptTask_0wyub4x" name="Post Process Rollback" scriptFormat="groovy">
        <bpmn2:incoming>SequenceFlow_0dvsqpp</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_1rabks0</bpmn2:outgoing>
        <bpmn2:script><![CDATA[import org.openecomp.mso.bpmn.vcpe.scripts.*
def CreateVcpeResCustService= new CreateVcpeResCustService()
CreateVcpeResCustService.postProcessRollback(execution)]]></bpmn2:script>
      </bpmn2:scriptTask>
      <bpmn2:sequenceFlow id="SequenceFlow_1rabks0" sourceRef="ScriptTask_0wyub4x" targetRef="InclusiveGateway_0foywso" />
      <bpmn2:exclusiveGateway id="ExclusiveGateway_06gq6em" name="is AR_BRG Ok?" default="SequenceFlow_0ya1cr3">
        <bpmn2:incoming>SequenceFlow_12dakwh</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_0orpdrl</bpmn2:outgoing>
        <bpmn2:outgoing>SequenceFlow_0ya1cr3</bpmn2:outgoing>
      </bpmn2:exclusiveGateway>
      <bpmn2:callActivity id="CallActivity_1bpuf2p" name="DoRollback&#10;BRG Allotted Resources&#10;" calledElement="DoCreateAllottedResourceBRGRollback">
        <bpmn2:extensionElements>
          <camunda:in source="msoRequestId" target="msoRequestId" />
          <camunda:in source="DCARBRG_rollbackData" target="rollbackData" />
          <camunda:in source="sdncVersion" target="sdncVersion" />
          <camunda:out source="rollbackSuccessful" target="DCARCR_rollbackSuccessful" />
          <camunda:out source="rollbackError" target="DCARCR_rollbackError" />
        </bpmn2:extensionElements>
        <bpmn2:incoming>SequenceFlow_0orpdrl</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_1t3cnnx</bpmn2:outgoing>
      </bpmn2:callActivity>
      <bpmn2:inclusiveGateway id="InclusiveGateway_131ozdf">
        <bpmn2:incoming>SequenceFlow_0ya1cr3</bpmn2:incoming>
        <bpmn2:incoming>SequenceFlow_1sim44y</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_027lz43</bpmn2:outgoing>
      </bpmn2:inclusiveGateway>
      <bpmn2:sequenceFlow id="SequenceFlow_0orpdrl" name="Yes" sourceRef="ExclusiveGateway_06gq6em" targetRef="CallActivity_1bpuf2p">
        <bpmn2:conditionExpression xsi:type="bpmn2:tFormalExpression"><![CDATA[#{execution.getVariable("DCARBRG_rollbackData") != null }]]></bpmn2:conditionExpression>
      </bpmn2:sequenceFlow>
      <bpmn2:sequenceFlow id="SequenceFlow_1t3cnnx" sourceRef="CallActivity_1bpuf2p" targetRef="ExclusiveGateway_1mjdcct" />
      <bpmn2:sequenceFlow id="SequenceFlow_0ya1cr3" name="No" sourceRef="ExclusiveGateway_06gq6em" targetRef="InclusiveGateway_131ozdf" />
      <bpmn2:exclusiveGateway id="ExclusiveGateway_14tl857" name="is VNF Ok?" default="SequenceFlow_1kpdu1j">
        <bpmn2:incoming>SequenceFlow_027lz43</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_1kpdu1j</bpmn2:outgoing>
        <bpmn2:outgoing>SequenceFlow_007p8k3</bpmn2:outgoing>
      </bpmn2:exclusiveGateway>
      <bpmn2:inclusiveGateway id="InclusiveGateway_142br6v">
        <bpmn2:incoming>SequenceFlow_1kpdu1j</bpmn2:incoming>
        <bpmn2:incoming>SequenceFlow_1quvahv</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_0t3mtod</bpmn2:outgoing>
      </bpmn2:inclusiveGateway>
      <bpmn2:sequenceFlow id="SequenceFlow_1kpdu1j" name="No" sourceRef="ExclusiveGateway_14tl857" targetRef="InclusiveGateway_142br6v" />
      <bpmn2:exclusiveGateway id="ExclusiveGateway_1mjdcct" name="Rollback success?" default="SequenceFlow_0dr2fem">
        <bpmn2:incoming>SequenceFlow_1t3cnnx</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_1sim44y</bpmn2:outgoing>
        <bpmn2:outgoing>SequenceFlow_0dr2fem</bpmn2:outgoing>
      </bpmn2:exclusiveGateway>
      <bpmn2:sequenceFlow id="SequenceFlow_1sim44y" name="Yes" sourceRef="ExclusiveGateway_1mjdcct" targetRef="InclusiveGateway_131ozdf">
        <bpmn2:conditionExpression xsi:type="bpmn2:tFormalExpression"><![CDATA[#{ execution.getVariable("DCARBRG_rollbackSuccessful") == true }]]></bpmn2:conditionExpression>
      </bpmn2:sequenceFlow>
      <bpmn2:sequenceFlow id="SequenceFlow_0dr2fem" name="No" sourceRef="ExclusiveGateway_1mjdcct" targetRef="InclusiveGateway_1xenadu" />
      <bpmn2:exclusiveGateway id="ExclusiveGateway_05dg1m1" name="Rollback success?" default="SequenceFlow_1mbymcu">
        <bpmn2:incoming>SequenceFlow_1mpsdaj</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_1quvahv</bpmn2:outgoing>
        <bpmn2:outgoing>SequenceFlow_1mbymcu</bpmn2:outgoing>
      </bpmn2:exclusiveGateway>
      <bpmn2:sequenceFlow id="SequenceFlow_1quvahv" name="Yes" sourceRef="ExclusiveGateway_05dg1m1" targetRef="InclusiveGateway_142br6v">
        <bpmn2:conditionExpression xsi:type="bpmn2:tFormalExpression"><![CDATA[#{ execution.getVariable("DCRENI_rollbackData") != null }]]></bpmn2:conditionExpression>
      </bpmn2:sequenceFlow>
      <bpmn2:sequenceFlow id="SequenceFlow_1mbymcu" name="No" sourceRef="ExclusiveGateway_05dg1m1" targetRef="InclusiveGateway_0m9f5ka" />
      <bpmn2:exclusiveGateway id="ExclusiveGateway_0y7gtd9" name="rollback failed?" default="SequenceFlow_12dakwh">
        <bpmn2:incoming>SequenceFlow_0ftzjjm</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_12dakwh</bpmn2:outgoing>
        <bpmn2:outgoing>SequenceFlow_09nn9a9</bpmn2:outgoing>
      </bpmn2:exclusiveGateway>
      <bpmn2:sequenceFlow id="SequenceFlow_0ftzjjm" sourceRef="ScriptTask_17doerz" targetRef="ExclusiveGateway_0y7gtd9" />
      <bpmn2:sequenceFlow id="SequenceFlow_12dakwh" name="No" sourceRef="ExclusiveGateway_0y7gtd9" targetRef="ExclusiveGateway_06gq6em" />
      <bpmn2:inclusiveGateway id="InclusiveGateway_1xenadu">
        <bpmn2:incoming>SequenceFlow_1lv5ld6</bpmn2:incoming>
        <bpmn2:incoming>SequenceFlow_09nn9a9</bpmn2:incoming>
        <bpmn2:incoming>SequenceFlow_0dr2fem</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_0dvsqpp</bpmn2:outgoing>
      </bpmn2:inclusiveGateway>
      <bpmn2:sequenceFlow id="SequenceFlow_1lv5ld6" sourceRef="InclusiveGateway_0m9f5ka" targetRef="InclusiveGateway_1xenadu" />
      <bpmn2:sequenceFlow id="SequenceFlow_0dvsqpp" sourceRef="InclusiveGateway_1xenadu" targetRef="ScriptTask_0wyub4x" />
      <bpmn2:sequenceFlow id="SequenceFlow_09nn9a9" name="Yes" sourceRef="ExclusiveGateway_0y7gtd9" targetRef="InclusiveGateway_1xenadu">
        <bpmn2:conditionExpression xsi:type="bpmn2:tFormalExpression"><![CDATA[#{execution.getVariable("rolledBack") != null && execution.getVariable("rolledBack") == false}]]></bpmn2:conditionExpression>
      </bpmn2:sequenceFlow>
      <bpmn2:sequenceFlow id="SequenceFlow_027lz43" sourceRef="InclusiveGateway_131ozdf" targetRef="ExclusiveGateway_14tl857" />
      <bpmn2:sequenceFlow id="SequenceFlow_0t3mtod" sourceRef="InclusiveGateway_142br6v" targetRef="ExclusiveGateway_1kvn1pz" />
      <bpmn2:callActivity id="CallActivity_071yaf8" name="DoRollback&#10;TXC Allotted Resources&#10;" calledElement="DoCreateAllottedResourceTXCRollback">
        <bpmn2:extensionElements>
          <camunda:in source="msoRequestId" target="msoRequestId" />
          <camunda:in source="DCARTXC_rollbackData" target="rollbackData" />
          <camunda:in source="sdncVersion" target="sdncVersion" />
          <camunda:out source="rollbackSuccessful" target="DCARBRG_rollbackSuccessful" />
          <camunda:out source="rollbackError" target="DCARBRG_rollbackError" />
        </bpmn2:extensionElements>
        <bpmn2:incoming>SequenceFlow_1sl79hn</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_0ne9n0g</bpmn2:outgoing>
      </bpmn2:callActivity>
      <bpmn2:sequenceFlow id="SequenceFlow_1sl79hn" name="Yes" sourceRef="ExclusiveGateway_1kvn1pz" targetRef="CallActivity_071yaf8">
        <bpmn2:conditionExpression xsi:type="bpmn2:tFormalExpression"><![CDATA[#{execution.getVariable("DCARTXC_rollbackData") != null }]]></bpmn2:conditionExpression>
      </bpmn2:sequenceFlow>
      <bpmn2:exclusiveGateway id="ExclusiveGateway_0y158bb" name="Rollback success?">
        <bpmn2:incoming>SequenceFlow_0ne9n0g</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_00by7l7</bpmn2:outgoing>
        <bpmn2:outgoing>SequenceFlow_17cz98f</bpmn2:outgoing>
      </bpmn2:exclusiveGateway>
      <bpmn2:sequenceFlow id="SequenceFlow_0ne9n0g" sourceRef="CallActivity_071yaf8" targetRef="ExclusiveGateway_0y158bb" />
      <bpmn2:sequenceFlow id="SequenceFlow_1a7e8l1" name="Yes" sourceRef="ExclusiveGateway_05indeh" targetRef="DoRollbackService_CallActivity">
        <bpmn2:conditionExpression xsi:type="bpmn2:tFormalExpression"><![CDATA[#{ execution.getVariable("DCRESI_rollbackData") != null }]]></bpmn2:conditionExpression>
      </bpmn2:sequenceFlow>
      <bpmn2:sequenceFlow id="SequenceFlow_00by7l7" name="No" sourceRef="ExclusiveGateway_0y158bb" targetRef="InclusiveGateway_0m9f5ka" />
      <bpmn2:sequenceFlow id="SequenceFlow_17cz98f" name="Yes" sourceRef="ExclusiveGateway_0y158bb" targetRef="ExclusiveGateway_05indeh">
        <bpmn2:conditionExpression xsi:type="bpmn2:tFormalExpression"><![CDATA[#{ execution.getVariable("DCARTXC_rollbackSuccessful") == true }]]></bpmn2:conditionExpression>
      </bpmn2:sequenceFlow>
      <bpmn2:callActivity id="CallActivity_1cl4iu4" name="DoRollback&#10;VNF and Modules&#10;" calledElement="DoCreateVnfAndModulesRollback">
        <bpmn2:extensionElements>
          <camunda:in source="msoRequestId" target="msoRequestId" />
          <camunda:in source="DCVAM_rollbackData" target="rollbackData" />
          <camunda:out source="rollbackSuccessful" target="DCVAM_rollbackSuccessful" />
          <camunda:out source="rollbackError" target="DCVAM_rollbackError" />
          <camunda:in source="sdncVersion" target="sdncVersion" />
        </bpmn2:extensionElements>
        <bpmn2:incoming>SequenceFlow_007p8k3</bpmn2:incoming>
        <bpmn2:outgoing>SequenceFlow_1mpsdaj</bpmn2:outgoing>
      </bpmn2:callActivity>
      <bpmn2:sequenceFlow id="SequenceFlow_1mpsdaj" sourceRef="CallActivity_1cl4iu4" targetRef="ExclusiveGateway_05dg1m1" />
      <bpmn2:sequenceFlow id="SequenceFlow_007p8k3" name="Yes" sourceRef="ExclusiveGateway_14tl857" targetRef="CallActivity_1cl4iu4">
        <bpmn2:conditionExpression xsi:type="bpmn2:tFormalExpression"><![CDATA[#{execution.getVariable("DCVAM_rollbackData") != null }]]></bpmn2:conditionExpression>
      </bpmn2:sequenceFlow>
    </bpmn2:subProcess>
    <bpmn2:scriptTask id="prepareCreateService_scriptTask" name="Prepare&#10;Create&#10;Service&#10;" scriptFormat="groovy">
      <bpmn2:incoming>SequenceFlow_15odbkz</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_0j6sjye</bpmn2:outgoing>
      <bpmn2:script><![CDATA[import org.openecomp.mso.bpmn.vcpe.scripts.*
def CreateVcpeResCustService = new CreateVcpeResCustService()
CreateVcpeResCustService.prepareCreateServiceInstance(execution)]]></bpmn2:script>
    </bpmn2:scriptTask>
    <bpmn2:scriptTask id="setPONR_ScriptTask" name="set PONR">
      <bpmn2:incoming>SequenceFlow_12ilko1</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_0afe2pg</bpmn2:outgoing>
      <bpmn2:script><![CDATA[#{execution.setVariable("PONR", true)}]]></bpmn2:script>
    </bpmn2:scriptTask>
    <bpmn2:sequenceFlow id="SequenceFlow_12ilko1" sourceRef="IntermediateCatchEvent_4" targetRef="setPONR_ScriptTask" />
    <bpmn2:sequenceFlow id="SequenceFlow_0afe2pg" sourceRef="setPONR_ScriptTask" targetRef="postProcessAndCompletionRequest_ScriptTask" />
    <bpmn2:intermediateThrowEvent id="IntermediateThrowEvent_0prlju0" name="GoTo StartService">
      <bpmn2:incoming>SequenceFlow_1jbuf1t</bpmn2:incoming>
      <bpmn2:linkEventDefinition name="StartService" />
    </bpmn2:intermediateThrowEvent>
    <bpmn2:sequenceFlow id="SequenceFlow_0j6sjye" sourceRef="prepareCreateService_scriptTask" targetRef="doCreateServiceInstance_CallActivity" />
    <bpmn2:serviceTask id="updateInfraRequest" name="Update DB status to SUCCESS">
      <bpmn2:extensionElements>
        <camunda:connector>
          <camunda:inputOutput>
            <camunda:inputParameter name="url">${URN_mso_adapters_db_endpoint}</camunda:inputParameter>
            <camunda:inputParameter name="payload"><![CDATA[${execution.getVariable("CVRCS_setUpdateDbInstancePayload")}]]></camunda:inputParameter>
            <camunda:inputParameter name="headers">
              <camunda:map>
                <camunda:entry key="content-type">application/soap+xml</camunda:entry>
                <camunda:entry key="Authorization">#{BasicAuthHeaderValueDB}</camunda:entry>
              </camunda:map>
            </camunda:inputParameter>
            <camunda:inputParameter name="method">POST</camunda:inputParameter>
          </camunda:inputOutput>
          <camunda:connectorId>soap-http-connector</camunda:connectorId>
        </camunda:connector>
      </bpmn2:extensionElements>
      <bpmn2:incoming>SequenceFlow_0vj46ej</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_13uceka</bpmn2:outgoing>
    </bpmn2:serviceTask>
    <bpmn2:sequenceFlow id="SequenceFlow_13uceka" sourceRef="updateInfraRequest" targetRef="IntermediateThrowEvent_1as6hoa" />
    <bpmn2:scriptTask id="ScriptTask_1qd3uwb" name="PostProcess&#10;Create&#10;Service&#10;" scriptFormat="groovy">
      <bpmn2:incoming>SequenceFlow_1ky2sv9</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_0vj46ej</bpmn2:outgoing>
      <bpmn2:script><![CDATA[import org.openecomp.mso.bpmn.vcpe.scripts.*
def CreateVcpeResCustService = new CreateVcpeResCustService()
CreateVcpeResCustService.postProcessServiceInstanceCreate(execution)]]></bpmn2:script>
    </bpmn2:scriptTask>
    <bpmn2:sequenceFlow id="SequenceFlow_1ky2sv9" sourceRef="doCreateServiceInstance_CallActivity" targetRef="ScriptTask_1qd3uwb" />
    <bpmn2:sequenceFlow id="SequenceFlow_0vj46ej" sourceRef="ScriptTask_1qd3uwb" targetRef="updateInfraRequest" />
    <bpmn2:callActivity id="DecomposeService" name="Call Decompose Service" calledElement="DecomposeService">
      <bpmn2:extensionElements>
        <camunda:in source="msoRequestId" target="msoRequestId" />
        <camunda:in source="serviceInstanceId" target="serviceInstanceId" />
        <camunda:in source="serviceModelInfo" target="serviceModelInfo" />
        <camunda:in source="isDebugLogEnabled" target="isDebugLogEnabled" />
        <camunda:out source="serviceDecomposition" target="serviceDecomposition" />
        <camunda:out source="WorkflowException" target="WorkflowException" />
      </bpmn2:extensionElements>
      <bpmn2:incoming>SequenceFlow_00h6hmd</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_17g05fd</bpmn2:outgoing>
    </bpmn2:callActivity>
    <bpmn2:scriptTask id="ScriptTask_0cdtchu" name="Prepare&#10;Decompose&#10;Service&#10;" scriptFormat="groovy">
      <bpmn2:incoming>SequenceFlow_1eu60rt</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_00h6hmd</bpmn2:outgoing>
      <bpmn2:script><![CDATA[import org.openecomp.mso.bpmn.vcpe.scripts.*
def CreateVcpeResCustService = new CreateVcpeResCustService()
CreateVcpeResCustService.prepareDecomposeService(execution)]]></bpmn2:script>
    </bpmn2:scriptTask>
    <bpmn2:sequenceFlow id="SequenceFlow_1eu60rt" sourceRef="IntermediateCatchEvent_3" targetRef="ScriptTask_0cdtchu" />
    <bpmn2:sequenceFlow id="SequenceFlow_00h6hmd" sourceRef="ScriptTask_0cdtchu" targetRef="DecomposeService" />
    <bpmn2:scriptTask id="ScriptTask_0vf9bei" name="Prepare to create Allotted Resources TXC" scriptFormat="groovy">
      <bpmn2:incoming>SequenceFlow_0x9pjgm</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_1kgaq0j</bpmn2:outgoing>
      <bpmn2:script><![CDATA[import org.openecomp.mso.bpmn.vcpe.scripts.*
def CreateVcpeResCustService = new CreateVcpeResCustService()
CreateVcpeResCustService.prepareCreateAllottedResourceTXC(execution)]]></bpmn2:script>
    </bpmn2:scriptTask>
    <bpmn2:intermediateCatchEvent id="IntermediateCatchEvent_110rm9l" name="CreateAllottedResourcesTXC">
      <bpmn2:outgoing>SequenceFlow_0x9pjgm</bpmn2:outgoing>
      <bpmn2:linkEventDefinition name="CreateAllottedResourcesTXC" />
    </bpmn2:intermediateCatchEvent>
    <bpmn2:sequenceFlow id="SequenceFlow_0x9pjgm" sourceRef="IntermediateCatchEvent_110rm9l" targetRef="ScriptTask_0vf9bei" />
    <bpmn2:exclusiveGateway id="ExclusiveGateway_1oudh6l" name="Create TXC AR?" default="SequenceFlow_0ofjahh">
      <bpmn2:incoming>SequenceFlow_1kgaq0j</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_0ofjahh</bpmn2:outgoing>
      <bpmn2:outgoing>SequenceFlow_16qob4p</bpmn2:outgoing>
    </bpmn2:exclusiveGateway>
    <bpmn2:exclusiveGateway id="ExclusiveGateway_074qh5g">
      <bpmn2:incoming>SequenceFlow_0ofjahh</bpmn2:incoming>
      <bpmn2:incoming>SequenceFlow_1cgpklo</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_0o6tf9p</bpmn2:outgoing>
    </bpmn2:exclusiveGateway>
    <bpmn2:sequenceFlow id="SequenceFlow_0ofjahh" name="No" sourceRef="ExclusiveGateway_1oudh6l" targetRef="ExclusiveGateway_074qh5g" />
    <bpmn2:sequenceFlow id="SequenceFlow_1kgaq0j" sourceRef="ScriptTask_0vf9bei" targetRef="ExclusiveGateway_1oudh6l" />
    <bpmn2:sequenceFlow id="SequenceFlow_16qob4p" name="Yes" sourceRef="ExclusiveGateway_1oudh6l" targetRef="CallActivity_1ymzucb">
      <bpmn2:conditionExpression xsi:type="bpmn2:tFormalExpression"><![CDATA[#{ execution.getVariable("createTXCAR") != null && execution.getVariable("createTXCAR") == true }]]></bpmn2:conditionExpression>
    </bpmn2:sequenceFlow>
    <bpmn2:intermediateCatchEvent id="IntermediateCatchEvent_1i1nwfx" name="StartService">
      <bpmn2:outgoing>SequenceFlow_15odbkz</bpmn2:outgoing>
      <bpmn2:linkEventDefinition name="StartService" />
    </bpmn2:intermediateCatchEvent>
    <bpmn2:intermediateThrowEvent id="IntermediateThrowEvent_1as6hoa" name="GoToCreateAllottedResourcesTXC">
      <bpmn2:incoming>SequenceFlow_13uceka</bpmn2:incoming>
      <bpmn2:linkEventDefinition name="CreateAllottedResourcesTXC" />
    </bpmn2:intermediateThrowEvent>
    <bpmn2:sequenceFlow id="SequenceFlow_15odbkz" sourceRef="IntermediateCatchEvent_1i1nwfx" targetRef="prepareCreateService_scriptTask" />
    <bpmn2:callActivity id="Task_1l0uvof" name="Call Homing Service" camunda:modelerTemplate="homingBlock" calledElement="Homing">
      <bpmn2:extensionElements>
        <camunda:in source="true" target="isDebugLogEnabled" />
        <camunda:in source="null" target="timeout" />
        <camunda:out source="serviceDecomposition" target="serviceDecomposition" />
        <camunda:out source="rolledBack" target="rolledBack" />
        <camunda:out source="rollbackData" target="rollbackData" />
        <camunda:out source="WorkflowException" target="WorkflowException" />
        <camunda:in source="msoRequestId" target="msoRequestId" />
        <camunda:in source="serviceInstanceId" target="serviceInstanceId" />
        <camunda:in source="serviceDecomposition" target="serviceDecomposition" />
        <camunda:in source="subscriberInfo" target="subscriberInfo" />
      </bpmn2:extensionElements>
      <bpmn2:incoming>SequenceFlow_17g05fd</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_1jbuf1t</bpmn2:outgoing>
    </bpmn2:callActivity>
    <bpmn2:sequenceFlow id="SequenceFlow_1jbuf1t" sourceRef="Task_1l0uvof" targetRef="IntermediateThrowEvent_0prlju0" />
    <bpmn2:sequenceFlow id="SequenceFlow_17g05fd" sourceRef="DecomposeService" targetRef="Task_1l0uvof" />
    <bpmn2:intermediateCatchEvent id="IntermediateCatchEvent_17pzn7m" name="CreateAllottedResourcesBRG">
      <bpmn2:outgoing>SequenceFlow_15vce9o</bpmn2:outgoing>
      <bpmn2:linkEventDefinition name="CreateAllottedResourcesBRG" />
    </bpmn2:intermediateCatchEvent>
    <bpmn2:intermediateThrowEvent id="IntermediateThrowEvent_0lt5ltv" name="GoToFinishProcess">
      <bpmn2:incoming>SequenceFlow_0hwsm6n</bpmn2:incoming>
      <bpmn2:linkEventDefinition name="FinishProcess" />
    </bpmn2:intermediateThrowEvent>
    <bpmn2:exclusiveGateway id="ExclusiveGateway_1xwfgxs" name="Create BRG AR?" default="SequenceFlow_0loks1u">
      <bpmn2:incoming>SequenceFlow_0yecpl2</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_0loks1u</bpmn2:outgoing>
      <bpmn2:outgoing>SequenceFlow_0b5ztoe</bpmn2:outgoing>
    </bpmn2:exclusiveGateway>
    <bpmn2:exclusiveGateway id="ExclusiveGateway_0jqgskx">
      <bpmn2:incoming>SequenceFlow_0loks1u</bpmn2:incoming>
      <bpmn2:incoming>SequenceFlow_0e9e6fo</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_0hwsm6n</bpmn2:outgoing>
    </bpmn2:exclusiveGateway>
    <bpmn2:callActivity id="CallActivity_0xt1l8t" name="Call Create BRG Allotted Resource&#10;" calledElement="DoCreateAllottedResourceBRG">
      <bpmn2:extensionElements>
        <camunda:in source="msoRequestId" target="msoRequestId" />
        <camunda:in source="disableRollback" target="disableRollback" />
        <camunda:in source="isDebugLogEnabled" target="isDebugLogEnabled" />
        <camunda:in source="failExists" target="failExists" />
        <camunda:in source="serviceInstanceId" target="serviceInstanceId" />
        <camunda:in source="parentServiceInstanceIdBRG" target="parentServiceInstanceId" />
        <camunda:in source="allottedResourceIdBRG" target="allottedResourceId" />
        <camunda:in source="allottedResourceModelInfoBRG" target="allottedResourceModelInfo" />
        <camunda:in source="allottedResourceRoleBRG" target="allottedResourceRole" />
        <camunda:out source="rollbackData" target="DCARBRG_rollbackData" />
        <camunda:out source="rolledBack" target="rolledBack" />
        <camunda:out source="WorkflowException" target="WorkflowException" />
        <camunda:out source="allottedResourceId" target="DCARBRG_allottedResourceId" />
        <camunda:out source="allottedResourceName" target="DCARBRG_allottedResourceName" />
        <camunda:in source="allottedResourceTypeBRG" target="allottedResourceType" />
        <camunda:in source="vni" target="vni" />
        <camunda:in source="vgmuxBearerIP" target="vgmuxBearerIP" />
        <camunda:in source="brgWanMacAddress" target="brgWanMacAddress" />
      </bpmn2:extensionElements>
      <bpmn2:incoming>SequenceFlow_0b5ztoe</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_0e9e6fo</bpmn2:outgoing>
    </bpmn2:callActivity>
    <bpmn2:sequenceFlow id="SequenceFlow_0hwsm6n" sourceRef="ExclusiveGateway_0jqgskx" targetRef="IntermediateThrowEvent_0lt5ltv" />
    <bpmn2:sequenceFlow id="SequenceFlow_0loks1u" name="No" sourceRef="ExclusiveGateway_1xwfgxs" targetRef="ExclusiveGateway_0jqgskx" />
    <bpmn2:sequenceFlow id="SequenceFlow_0b5ztoe" name="Yes" sourceRef="ExclusiveGateway_1xwfgxs" targetRef="CallActivity_0xt1l8t">
      <bpmn2:conditionExpression xsi:type="bpmn2:tFormalExpression"><![CDATA[#{ execution.getVariable("createBRGAR") != null && execution.getVariable("createBRGAR") == true }]]></bpmn2:conditionExpression>
    </bpmn2:sequenceFlow>
    <bpmn2:sequenceFlow id="SequenceFlow_0e9e6fo" sourceRef="CallActivity_0xt1l8t" targetRef="ExclusiveGateway_0jqgskx" />
    <bpmn2:scriptTask id="ScriptTask_05epj75" name="Prepare to create Allotted Resources BRG" scriptFormat="groovy">
      <bpmn2:incoming>SequenceFlow_15vce9o</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_0yecpl2</bpmn2:outgoing>
      <bpmn2:script><![CDATA[import org.openecomp.mso.bpmn.vcpe.scripts.*
def CreateVcpeResCustService = new CreateVcpeResCustService()
CreateVcpeResCustService.prepareCreateAllottedResourceBRG(execution)]]></bpmn2:script>
    </bpmn2:scriptTask>
    <bpmn2:sequenceFlow id="SequenceFlow_15vce9o" sourceRef="IntermediateCatchEvent_17pzn7m" targetRef="ScriptTask_05epj75" />
    <bpmn2:sequenceFlow id="SequenceFlow_0yecpl2" sourceRef="ScriptTask_05epj75" targetRef="ExclusiveGateway_1xwfgxs" />
    <bpmn2:intermediateThrowEvent id="IntermediateThrowEvent_09vobbc" name="GoToCreateVG">
      <bpmn2:incoming>SequenceFlow_0o6tf9p</bpmn2:incoming>
      <bpmn2:linkEventDefinition name="CreateVG" />
    </bpmn2:intermediateThrowEvent>
    <bpmn2:sequenceFlow id="SequenceFlow_0o6tf9p" sourceRef="ExclusiveGateway_074qh5g" targetRef="IntermediateThrowEvent_09vobbc" />
    <bpmn2:callActivity id="CallActivity_1els13v" name="DoCreate&#10;VNF and Modules&#10;" calledElement="DoCreateVnfAndModules">
      <bpmn2:extensionElements>
        <camunda:in source="msoRequestId" target="msoRequestId" />
        <camunda:in source="disableRollback" target="disableRollback" />
        <camunda:in source="isDebugLogEnabled" target="isDebugLogEnabled" />
        <camunda:in source="serviceInstanceId" target="serviceInstanceId" />
        <camunda:in source="productFamilyId" target="productFamilyId" />
        <camunda:in source="vnfModelInfo" target="vnfModelInfo" />
        <camunda:in source="lcpCloudRegionId" target="lcpCloudRegionId" />
        <camunda:in source="tenantId" target="tenantId" />
        <camunda:in source="sdncVersion" target="sdncVersion" />
        <camunda:out source="rollbackData" target="DCVAM_rollbackData" />
        <camunda:out source="WorkflowException" target="WorkflowException" />
        <camunda:out source="vnfId" target="vnfId" />
        <camunda:out source="vnfName" target="vnfName" />
        <camunda:out source="vnfOutputParams" target="vnfOutputParams" />
        <camunda:out source="rolledBack" target="rolledBack" />
        <camunda:in source="serviceModelInfo" target="serviceModelInfo" />
        <camunda:in source="globalSubscriberId" target="globalSubscriberId" />
        <camunda:in source="serviceDecomposition" target="serviceDecomposition" />
      </bpmn2:extensionElements>
      <bpmn2:incoming>SequenceFlow_0ws7fjn</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_1mkdhw9</bpmn2:outgoing>
    </bpmn2:callActivity>
    <bpmn2:scriptTask id="ScriptTask_0n1k77c" name="Prepare to Create VNF" scriptFormat="groovy">
      <bpmn2:incoming>SequenceFlow_0p75l97</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_0ws7fjn</bpmn2:outgoing>
      <bpmn2:script><![CDATA[import org.openecomp.mso.bpmn.infra.scripts.*
def CreateVcpeResCustService= new CreateVcpeResCustService()
CreateVcpeResCustService.prepareVnfAndModulesCreate(execution)]]></bpmn2:script>
    </bpmn2:scriptTask>
    <bpmn2:intermediateThrowEvent id="IntermediateThrowEvent_1xsowxp" name="GoToCreateAllottedResourcesBRG">
      <bpmn2:incoming>SequenceFlow_1ufio7c</bpmn2:incoming>
      <bpmn2:linkEventDefinition name="CreateAllottedResourcesBRG" />
    </bpmn2:intermediateThrowEvent>
    <bpmn2:intermediateCatchEvent id="IntermediateCatchEvent_19a50jz" name="CreateVG">
      <bpmn2:outgoing>SequenceFlow_0aza7xq</bpmn2:outgoing>
      <bpmn2:linkEventDefinition name="CreateVG" />
    </bpmn2:intermediateCatchEvent>
    <bpmn2:exclusiveGateway id="ExclusiveGateway_0yae9sb" name="Create VNF?" default="SequenceFlow_13iuk3s">
      <bpmn2:incoming>SequenceFlow_0aza7xq</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_0p75l97</bpmn2:outgoing>
      <bpmn2:outgoing>SequenceFlow_13iuk3s</bpmn2:outgoing>
    </bpmn2:exclusiveGateway>
    <bpmn2:exclusiveGateway id="ExclusiveGateway_1hlbkue">
      <bpmn2:incoming>SequenceFlow_1mkdhw9</bpmn2:incoming>
      <bpmn2:incoming>SequenceFlow_13iuk3s</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_1ufio7c</bpmn2:outgoing>
    </bpmn2:exclusiveGateway>
    <bpmn2:sequenceFlow id="SequenceFlow_0ws7fjn" sourceRef="ScriptTask_0n1k77c" targetRef="CallActivity_1els13v" />
    <bpmn2:sequenceFlow id="SequenceFlow_1mkdhw9" name="in 1702 scope only one VNF will be created - if needed" sourceRef="CallActivity_1els13v" targetRef="ExclusiveGateway_1hlbkue">
      <bpmn2:documentation>in 1702 scope only one VNF will be created</bpmn2:documentation>
    </bpmn2:sequenceFlow>
    <bpmn2:sequenceFlow id="SequenceFlow_0p75l97" name="Yes" sourceRef="ExclusiveGateway_0yae9sb" targetRef="ScriptTask_0n1k77c">
      <bpmn2:conditionExpression xsi:type="bpmn2:tFormalExpression"><![CDATA[#{execution.getVariable("CVRCS_VNFsCount") >0}]]></bpmn2:conditionExpression>
    </bpmn2:sequenceFlow>
    <bpmn2:sequenceFlow id="SequenceFlow_1ufio7c" sourceRef="ExclusiveGateway_1hlbkue" targetRef="IntermediateThrowEvent_1xsowxp" />
    <bpmn2:sequenceFlow id="SequenceFlow_0aza7xq" sourceRef="IntermediateCatchEvent_19a50jz" targetRef="ExclusiveGateway_0yae9sb" />
    <bpmn2:sequenceFlow id="SequenceFlow_13iuk3s" name="No" sourceRef="ExclusiveGateway_0yae9sb" targetRef="ExclusiveGateway_1hlbkue" />
    <bpmn2:callActivity id="CallActivity_1ymzucb" name="Call Create TXC Allotted Resource&#10;" calledElement="DoCreateAllottedResourceTXC">
      <bpmn2:extensionElements>
        <camunda:in source="msoRequestId" target="msoRequestId" />
        <camunda:in source="disableRollback" target="disableRollback" />
        <camunda:in source="isDebugLogEnabled" target="isDebugLogEnabled" />
        <camunda:in source="failExists" target="failExists" />
        <camunda:in source="serviceInstanceId" target="serviceInstanceId" />
        <camunda:in source="parentServiceInstanceIdTXC" target="parentServiceInstanceId" />
        <camunda:in source="serviceChainServiceInstanceId" target="serviceChainServiceInstanceId" />
        <camunda:in source="allottedResourceIdTXC" target="allottedResourceId" />
        <camunda:in source="allottedResourceModelInfoTXC" target="allottedResourceModelInfo" />
        <camunda:in source="allottedResourceRoleTXC" target="allottedResourceRole" />
        <camunda:out source="rollbackData" target="DCARTXC_rollbackData" />
        <camunda:out source="rolledBack" target="rolledBack" />
        <camunda:out source="WorkflowException" target="WorkflowException" />
        <camunda:out source="allottedResourceId" target="DCARTXC_allottedResourceId" />
        <camunda:out source="vni" target="vni" />
        <camunda:out source="vgmuxBearerIP" target="vgmuxBearerIP" />
        <camunda:out source="vgmuxLanIP" target="vgmuxLanIP" />
        <camunda:in source="allottedResourceTypeTXC" target="allottedResourceType" />
        <camunda:in source="brgWanMacAddress" target="brgWanMacAddress" />
      </bpmn2:extensionElements>
      <bpmn2:incoming>SequenceFlow_16qob4p</bpmn2:incoming>
      <bpmn2:outgoing>SequenceFlow_1cgpklo</bpmn2:outgoing>
    </bpmn2:callActivity>
    <bpmn2:sequenceFlow id="SequenceFlow_1cgpklo" sourceRef="CallActivity_1ymzucb" targetRef="ExclusiveGateway_074qh5g" />
  </bpmn2:process>
  <bpmn2:error id="Error_2" name="MSOWorkflowException" errorCode="MSOWorkflowException" />
  <bpmn2:error id="Error_1" name="java.lang.Exception" errorCode="java.lang.Exception" />
  <bpmndi:BPMNDiagram id="BPMNDiagram_1">
    <bpmndi:BPMNPlane id="BPMNPlane_1" bpmnElement="CreateVcpeResCustService">
      <bpmndi:BPMNShape id="_BPMNShape_StartEvent_47" bpmnElement="createVCPE_startEvent">
        <dc:Bounds x="87" y="215" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="80" y="256" width="51" height="14" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="_BPMNShape_ScriptTask_61" bpmnElement="preProcessRequest_ScriptTask">
        <dc:Bounds x="276" y="193" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="BPMNEdge_SequenceFlow_1" bpmnElement="SequenceFlow_1" sourceElement="_BPMNShape_StartEvent_47" targetElement="_BPMNShape_ScriptTask_61">
        <di:waypoint xsi:type="dc:Point" x="123" y="233" />
        <di:waypoint xsi:type="dc:Point" x="276" y="233" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="165" y="233" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="_BPMNShape_CallActivity_4" bpmnElement="callCompleteMsoProcess_CallActivity">
        <dc:Bounds x="763" y="1432" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="_BPMNShape_ScriptTask_80" bpmnElement="postProcessAndCompletionRequest_ScriptTask">
        <dc:Bounds x="490" y="1432" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="BPMNEdge_SequenceFlow_12" bpmnElement="SequenceFlow_7" sourceElement="_BPMNShape_ScriptTask_61" targetElement="_BPMNShape_ScriptTask_127">
        <di:waypoint xsi:type="dc:Point" x="376" y="233" />
        <di:waypoint xsi:type="dc:Point" x="467" y="233" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="265" y="194" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="_BPMNShape_ScriptTask_127" bpmnElement="sendSyncAckResponse_ScriptTask">
        <dc:Bounds x="467" y="193" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="BPMNEdge_SequenceFlow_42" bpmnElement="SequenceFlow_29" sourceElement="_BPMNShape_ScriptTask_80" targetElement="_BPMNShape_CallActivity_4">
        <di:waypoint xsi:type="dc:Point" x="590" y="1472" />
        <di:waypoint xsi:type="dc:Point" x="763" y="1472" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="677" y="1457" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="_BPMNShape_EndEvent_177" bpmnElement="CreateVCPE_EndEvent">
        <dc:Bounds x="1262" y="1452" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="1269" y="1493" width="21" height="14" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="BPMNEdge_SequenceFlow_8" bpmnElement="SequenceFlow_8" sourceElement="_BPMNShape_CallActivity_4" targetElement="_BPMNShape_ScriptTask_337">
        <di:waypoint xsi:type="dc:Point" x="863" y="1472" />
        <di:waypoint xsi:type="dc:Point" x="1071" y="1471" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="967" y="1457" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="_BPMNShape_SubProcess_27" bpmnElement="UnexpectedError_SubProcess_1" isExpanded="true">
        <dc:Bounds x="1257" y="1702" width="409" height="232" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="_BPMNShape_StartEvent_70" bpmnElement="StartEvent_1">
        <dc:Bounds x="1325" y="1807" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="1343" y="1848" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="_BPMNShape_ScriptTask_269" bpmnElement="ScriptTask_1">
        <dc:Bounds x="1400" y="1785" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="_BPMNShape_EndEvent_219" bpmnElement="EndEvent_1">
        <dc:Bounds x="1553" y="1807" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="1571" y="1848" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="BPMNEdge_SequenceFlow_4" bpmnElement="SequenceFlow_2" sourceElement="_BPMNShape_StartEvent_70" targetElement="_BPMNShape_ScriptTask_269">
        <di:waypoint xsi:type="dc:Point" x="1361" y="1825" />
        <di:waypoint xsi:type="dc:Point" x="1400" y="1825" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="1389" y="1825" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="BPMNEdge_SequenceFlow_5" bpmnElement="SequenceFlow_5" sourceElement="_BPMNShape_ScriptTask_269" targetElement="_BPMNShape_EndEvent_219">
        <di:waypoint xsi:type="dc:Point" x="1500" y="1825" />
        <di:waypoint xsi:type="dc:Point" x="1533" y="1825" />
        <di:waypoint xsi:type="dc:Point" x="1533" y="1825" />
        <di:waypoint xsi:type="dc:Point" x="1553" y="1825" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="1548" y="1825" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="_BPMNShape_CallActivity_75" bpmnElement="doCreateServiceInstance_CallActivity">
        <dc:Bounds x="456" y="543" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="BPMNEdge_SequenceFlow_2" bpmnElement="SequenceFlow_3" sourceElement="_BPMNShape_ScriptTask_127">
        <di:waypoint xsi:type="dc:Point" x="567" y="233" />
        <di:waypoint xsi:type="dc:Point" x="719" y="233" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="643" y="218" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="_BPMNShape_ScriptTask_337" bpmnElement="ScriptTask_2">
        <dc:Bounds x="1071" y="1431" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="BPMNEdge_SequenceFlow_3" bpmnElement="SequenceFlow_6" sourceElement="_BPMNShape_ScriptTask_337" targetElement="_BPMNShape_EndEvent_177">
        <di:waypoint xsi:type="dc:Point" x="1171" y="1470" />
        <di:waypoint xsi:type="dc:Point" x="1262" y="1470" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="1217" y="1455" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="_BPMNShape_IntermediateCatchEvent_35" bpmnElement="IntermediateCatchEvent_3">
        <dc:Bounds x="87" y="370" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="80" y="406" width="50" height="14" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="_BPMNShape_IntermediateCatchEvent_36" bpmnElement="IntermediateCatchEvent_4">
        <dc:Bounds x="60" y="1454" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="39" y="1490" width="78" height="14" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="_BPMNShape_IntermediateThrowEvent_49" bpmnElement="IntermediateThrowEvent_2">
        <dc:Bounds x="719" y="215" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="697" y="256" width="79" height="14" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="SubProcess_0s6hpty_di" bpmnElement="SubProcess_0s6hpty" isExpanded="true">
        <dc:Bounds x="-261" y="1650" width="1482" height="1528" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="ExclusiveGateway_1vwgs6p_di" bpmnElement="ExclusiveGateway_1vwgs6p" isMarkerVisible="true">
        <dc:Bounds x="55" y="2972" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="42" y="3032" width="79" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="StartEvent_1bwmffk_di" bpmnElement="StartEvent_1bwmffk">
        <dc:Bounds x="-58" y="2980" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="-65" y="3021" width="53" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="ExclusiveGateway_0ydrtdx_di" bpmnElement="ExclusiveGateway_0ydrtdx" isMarkerVisible="true">
        <dc:Bounds x="55" y="2889" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="-11" y="2904" width="48" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="ExclusiveGateway_1kvn1pz_di" bpmnElement="ExclusiveGateway_1kvn1pz" isMarkerVisible="true">
        <dc:Bounds x="182" y="1822" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="114" y="1816" width="80" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="CallActivity_0jw5tqa_di" bpmnElement="CallActivity_0jw5tqa">
        <dc:Bounds x="1028" y="2946" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="EndEvent_04xute7_di" bpmnElement="EndEvent_04xute7">
        <dc:Bounds x="1165" y="2968" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="1138" y="3009" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="ScriptTask_0yk02h3_di" bpmnElement="ScriptTask_0yk02h3">
        <dc:Bounds x="896" y="2946" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_0zpbskl_di" bpmnElement="SequenceFlow_0zpbskl">
        <di:waypoint xsi:type="dc:Point" x="80" y="2972" />
        <di:waypoint xsi:type="dc:Point" x="80" y="2939" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="85" y="2959" width="21" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_0dhf2js_di" bpmnElement="SequenceFlow_0dhf2js">
        <di:waypoint xsi:type="dc:Point" x="105" y="2997" />
        <di:waypoint xsi:type="dc:Point" x="739" y="2997" />
        <di:waypoint xsi:type="dc:Point" x="739" y="2939" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="144" y="3002" width="16" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_1sx5llu_di" bpmnElement="SequenceFlow_1sx5llu">
        <di:waypoint xsi:type="dc:Point" x="105" y="2914" />
        <di:waypoint xsi:type="dc:Point" x="410" y="2914" />
        <di:waypoint xsi:type="dc:Point" x="410" y="2914" />
        <di:waypoint xsi:type="dc:Point" x="714" y="2914" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="423" y="2918" width="21" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_02o4yqx_di" bpmnElement="SequenceFlow_02o4yqx">
        <di:waypoint xsi:type="dc:Point" x="80" y="2889" />
        <di:waypoint xsi:type="dc:Point" x="80" y="2867" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="90" y="2872" width="16" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_19mxskt_di" bpmnElement="SequenceFlow_19mxskt">
        <di:waypoint xsi:type="dc:Point" x="207" y="1822" />
        <di:waypoint xsi:type="dc:Point" x="207" y="1726" />
        <di:waypoint xsi:type="dc:Point" x="686" y="1726" />
        <di:waypoint xsi:type="dc:Point" x="686" y="1942" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="395.6421052631579" y="1705" width="16" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="ScriptTask_1gacz45_di" bpmnElement="prepareCreateService_scriptTask">
        <dc:Bounds x="250" y="543" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="ScriptTask_11b4gmn_di" bpmnElement="setPONR_ScriptTask">
        <dc:Bounds x="204" y="1432" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_12ilko1_di" bpmnElement="SequenceFlow_12ilko1">
        <di:waypoint xsi:type="dc:Point" x="96" y="1472" />
        <di:waypoint xsi:type="dc:Point" x="204" y="1472" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="150" y="1447" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_0afe2pg_di" bpmnElement="SequenceFlow_0afe2pg">
        <di:waypoint xsi:type="dc:Point" x="304" y="1472" />
        <di:waypoint xsi:type="dc:Point" x="447" y="1472" />
        <di:waypoint xsi:type="dc:Point" x="490" y="1472" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="376" y="1457" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="CallActivity_0oh7wzu_di" bpmnElement="DoRollbackService_CallActivity">
        <dc:Bounds x="981" y="1927" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="InclusiveGateway_0foywso_di" bpmnElement="InclusiveGateway_0foywso">
        <dc:Bounds x="714" y="2889" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="694" y="2944" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_1f1hd3l_di" bpmnElement="SequenceFlow_1f1hd3l">
        <di:waypoint xsi:type="dc:Point" x="1031" y="2007" />
        <di:waypoint xsi:type="dc:Point" x="1031" y="2104" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="1001" y="2056" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_0jg47xm_di" bpmnElement="SequenceFlow_0jg47xm">
        <di:waypoint xsi:type="dc:Point" x="764" y="2914" />
        <di:waypoint xsi:type="dc:Point" x="946" y="2914" />
        <di:waypoint xsi:type="dc:Point" x="946" y="2946" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="810" y="2899" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_0807ukc_di" bpmnElement="SequenceFlow_0807ukc">
        <di:waypoint xsi:type="dc:Point" x="996" y="2986" />
        <di:waypoint xsi:type="dc:Point" x="1028" y="2986" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="967" y="2971" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_19yywk8_di" bpmnElement="SequenceFlow_19yywk8">
        <di:waypoint xsi:type="dc:Point" x="1128" y="2986" />
        <di:waypoint xsi:type="dc:Point" x="1165" y="2986" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="1102" y="2971" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="IntermediateThrowEvent_0prlju0_di" bpmnElement="IntermediateThrowEvent_0prlju0">
        <dc:Bounds x="1056" y="370" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="1025" y="411" width="97" height="14" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_0j6sjye_di" bpmnElement="SequenceFlow_0j6sjye">
        <di:waypoint xsi:type="dc:Point" x="350" y="583" />
        <di:waypoint xsi:type="dc:Point" x="456" y="583" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="403" y="568" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="ExclusiveGateway_05indeh_di" bpmnElement="ExclusiveGateway_05indeh" isMarkerVisible="true">
        <dc:Bounds x="661" y="1942" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="721" y="1924" width="85" height="24" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_0sezboq_di" bpmnElement="SequenceFlow_0sezboq">
        <di:waypoint xsi:type="dc:Point" x="686" y="1992" />
        <di:waypoint xsi:type="dc:Point" x="686" y="2129" />
        <di:waypoint xsi:type="dc:Point" x="1006" y="2129" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="693" y="2046" width="16" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="ServiceTask_16yhzej_di" bpmnElement="updateInfraRequest">
        <dc:Bounds x="794" y="543" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_13uceka_di" bpmnElement="SequenceFlow_13uceka">
        <di:waypoint xsi:type="dc:Point" x="894" y="583" />
        <di:waypoint xsi:type="dc:Point" x="1053" y="583" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="974" y="568" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="ScriptTask_1qd3uwb_di" bpmnElement="ScriptTask_1qd3uwb">
        <dc:Bounds x="623" y="543" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_1ky2sv9_di" bpmnElement="SequenceFlow_1ky2sv9">
        <di:waypoint xsi:type="dc:Point" x="556" y="583" />
        <di:waypoint xsi:type="dc:Point" x="623" y="583" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="590" y="568" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_0vj46ej_di" bpmnElement="SequenceFlow_0vj46ej">
        <di:waypoint xsi:type="dc:Point" x="723" y="583" />
        <di:waypoint xsi:type="dc:Point" x="794" y="583" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="759" y="568" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="ScriptTask_17doerz_di" bpmnElement="ScriptTask_17doerz">
        <dc:Bounds x="30" y="2787" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_0zq7i3q_di" bpmnElement="SequenceFlow_0zq7i3q">
        <di:waypoint xsi:type="dc:Point" x="-22" y="2998" />
        <di:waypoint xsi:type="dc:Point" x="25" y="2998" />
        <di:waypoint xsi:type="dc:Point" x="25" y="2997" />
        <di:waypoint xsi:type="dc:Point" x="55" y="2997" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="-51" y="2999" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="InclusiveGateway_0m9f5ka_di" bpmnElement="InclusiveGateway_0m9f5ka">
        <dc:Bounds x="1006" y="2104" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="986" y="2159" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="ScriptTask_0wyub4x_di" bpmnElement="ScriptTask_0wyub4x">
        <dc:Bounds x="689" y="2787" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_1rabks0_di" bpmnElement="SequenceFlow_1rabks0">
        <di:waypoint xsi:type="dc:Point" x="739" y="2867" />
        <di:waypoint xsi:type="dc:Point" x="739" y="2889" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="709" y="2878" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="CallActivity_0w2alah_di" bpmnElement="DecomposeService">
        <dc:Bounds x="467" y="348" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="ScriptTask_0cdtchu_di" bpmnElement="ScriptTask_0cdtchu">
        <dc:Bounds x="276" y="348" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_1eu60rt_di" bpmnElement="SequenceFlow_1eu60rt">
        <di:waypoint xsi:type="dc:Point" x="123" y="388" />
        <di:waypoint xsi:type="dc:Point" x="276" y="388" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="200" y="373" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_00h6hmd_di" bpmnElement="SequenceFlow_00h6hmd">
        <di:waypoint xsi:type="dc:Point" x="376" y="388" />
        <di:waypoint xsi:type="dc:Point" x="467" y="388" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="422" y="373" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="ScriptTask_0vf9bei_di" bpmnElement="ScriptTask_0vf9bei">
        <dc:Bounds x="184" y="801" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="IntermediateCatchEvent_110rm9l_di" bpmnElement="IntermediateCatchEvent_110rm9l">
        <dc:Bounds x="83" y="823" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="57" y="859" width="86" height="24" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_0x9pjgm_di" bpmnElement="SequenceFlow_0x9pjgm">
        <di:waypoint xsi:type="dc:Point" x="119" y="841" />
        <di:waypoint xsi:type="dc:Point" x="184" y="841" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="107" y="826" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="ExclusiveGateway_06gq6em_di" bpmnElement="ExclusiveGateway_06gq6em" isMarkerVisible="true">
        <dc:Bounds x="55" y="2604" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="-33" y="2619" width="82" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="CallActivity_1bpuf2p_di" bpmnElement="CallActivity_1bpuf2p">
        <dc:Bounds x="157" y="2519" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="InclusiveGateway_131ozdf_di" bpmnElement="InclusiveGateway_131ozdf">
        <dc:Bounds x="55" y="2432" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="35" y="2487" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_0orpdrl_di" bpmnElement="SequenceFlow_0orpdrl">
        <di:waypoint xsi:type="dc:Point" x="105" y="2629" />
        <di:waypoint xsi:type="dc:Point" x="207" y="2629" />
        <di:waypoint xsi:type="dc:Point" x="207" y="2599" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="145" y="2614" width="21" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_1t3cnnx_di" bpmnElement="SequenceFlow_1t3cnnx">
        <di:waypoint xsi:type="dc:Point" x="207" y="2519" />
        <di:waypoint xsi:type="dc:Point" x="207" y="2482" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="177" y="2501" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_0ya1cr3_di" bpmnElement="SequenceFlow_0ya1cr3">
        <di:waypoint xsi:type="dc:Point" x="80" y="2604" />
        <di:waypoint xsi:type="dc:Point" x="80" y="2543" />
        <di:waypoint xsi:type="dc:Point" x="80" y="2543" />
        <di:waypoint xsi:type="dc:Point" x="80" y="2482" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="92" y="2509" width="16" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="ExclusiveGateway_1oudh6l_di" bpmnElement="ExclusiveGateway_1oudh6l" isMarkerVisible="true">
        <dc:Bounds x="332" y="816" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="399" y="829" width="76" height="24" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="ExclusiveGateway_074qh5g_di" bpmnElement="ExclusiveGateway_074qh5g" isMarkerVisible="true">
        <dc:Bounds x="512" y="796" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="492" y="846" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_0ofjahh_di" bpmnElement="SequenceFlow_0ofjahh">
        <di:waypoint xsi:type="dc:Point" x="357" y="866" />
        <di:waypoint xsi:type="dc:Point" x="357" y="901" />
        <di:waypoint xsi:type="dc:Point" x="537" y="901" />
        <di:waypoint xsi:type="dc:Point" x="537" y="846" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="441" y="886" width="16" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_1kgaq0j_di" bpmnElement="SequenceFlow_1kgaq0j">
        <di:waypoint xsi:type="dc:Point" x="284" y="841" />
        <di:waypoint xsi:type="dc:Point" x="332" y="841" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="263" y="826" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_16qob4p_di" bpmnElement="SequenceFlow_16qob4p">
        <di:waypoint xsi:type="dc:Point" x="357" y="816" />
        <di:waypoint xsi:type="dc:Point" x="357" y="730" />
        <di:waypoint xsi:type="dc:Point" x="400" y="730" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="362" y="763" width="21" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="ExclusiveGateway_14tl857_di" bpmnElement="ExclusiveGateway_14tl857" isMarkerVisible="true">
        <dc:Bounds x="55" y="2283" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="-31" y="2298" width="80" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="InclusiveGateway_142br6v_di" bpmnElement="InclusiveGateway_142br6v">
        <dc:Bounds x="55" y="2104" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="35" y="2159" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_1kpdu1j_di" bpmnElement="SequenceFlow_1kpdu1j">
        <di:waypoint xsi:type="dc:Point" x="80" y="2283" />
        <di:waypoint xsi:type="dc:Point" x="80" y="2154" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="87" y="2219" width="16" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="ExclusiveGateway_1mjdcct_di" bpmnElement="ExclusiveGateway_1mjdcct" isMarkerVisible="true">
        <dc:Bounds x="182" y="2432" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="183" y="2394" width="48" height="24" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_1sim44y_di" bpmnElement="SequenceFlow_1sim44y">
        <di:waypoint xsi:type="dc:Point" x="182" y="2457" />
        <di:waypoint xsi:type="dc:Point" x="105" y="2457" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="111" y="2432" width="21" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_0dr2fem_di" bpmnElement="SequenceFlow_0dr2fem">
        <di:waypoint xsi:type="dc:Point" x="231" y="2458" />
        <di:waypoint xsi:type="dc:Point" x="739" y="2458" />
        <di:waypoint xsi:type="dc:Point" x="739" y="2696" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="320" y="2437" width="16" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="ExclusiveGateway_05dg1m1_di" bpmnElement="ExclusiveGateway_05dg1m1" isMarkerVisible="true">
        <dc:Bounds x="182" y="2104" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="183" y="2065" width="48" height="24" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_1quvahv_di" bpmnElement="SequenceFlow_1quvahv">
        <di:waypoint xsi:type="dc:Point" x="182" y="2129" />
        <di:waypoint xsi:type="dc:Point" x="105" y="2129" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="133" y="2104" width="21" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_1mbymcu_di" bpmnElement="SequenceFlow_1mbymcu">
        <di:waypoint xsi:type="dc:Point" x="232" y="2129" />
        <di:waypoint xsi:type="dc:Point" x="1006" y="2129" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="294" y="2098" width="16" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="IntermediateCatchEvent_1i1nwfx_di" bpmnElement="IntermediateCatchEvent_1i1nwfx">
        <dc:Bounds x="87" y="565" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="72" y="601" width="65" height="14" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="IntermediateThrowEvent_1as6hoa_di" bpmnElement="IntermediateThrowEvent_1as6hoa">
        <dc:Bounds x="1056" y="565" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="1037" y="606" width="72" height="24" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_15odbkz_di" bpmnElement="SequenceFlow_15odbkz">
        <di:waypoint xsi:type="dc:Point" x="123" y="583" />
        <di:waypoint xsi:type="dc:Point" x="250" y="583" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="187" y="558" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="CallActivity_07nu6s6_di" bpmnElement="Task_1l0uvof">
        <dc:Bounds x="687" y="348" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_1jbuf1t_di" bpmnElement="SequenceFlow_1jbuf1t">
        <di:waypoint xsi:type="dc:Point" x="787" y="388" />
        <di:waypoint xsi:type="dc:Point" x="1056" y="388" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="922" y="373" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_17g05fd_di" bpmnElement="SequenceFlow_17g05fd">
        <di:waypoint xsi:type="dc:Point" x="567" y="388" />
        <di:waypoint xsi:type="dc:Point" x="687" y="388" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="627" y="373" width="0" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="ExclusiveGateway_0y7gtd9_di" bpmnElement="ExclusiveGateway_0y7gtd9" isMarkerVisible="true">
        <dc:Bounds x="55" y="2696" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="-36" y="2709" width="76" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_0ftzjjm_di" bpmnElement="SequenceFlow_0ftzjjm">
        <di:waypoint xsi:type="dc:Point" x="80" y="2787" />
        <di:waypoint xsi:type="dc:Point" x="80" y="2746" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="50" y="2767" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_12dakwh_di" bpmnElement="SequenceFlow_12dakwh">
        <di:waypoint xsi:type="dc:Point" x="80" y="2696" />
        <di:waypoint xsi:type="dc:Point" x="80" y="2654" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="87" y="2667" width="16" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="InclusiveGateway_1xenadu_di" bpmnElement="InclusiveGateway_1xenadu">
        <dc:Bounds x="714" y="2696" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="694" y="2751" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_1lv5ld6_di" bpmnElement="SequenceFlow_1lv5ld6">
        <di:waypoint xsi:type="dc:Point" x="1031" y="2154" />
        <di:waypoint xsi:type="dc:Point" x="1031" y="2721" />
        <di:waypoint xsi:type="dc:Point" x="764" y="2721" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="1001" y="2438" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_0dvsqpp_di" bpmnElement="SequenceFlow_0dvsqpp">
        <di:waypoint xsi:type="dc:Point" x="739" y="2746" />
        <di:waypoint xsi:type="dc:Point" x="739" y="2787" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="709" y="2767" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_09nn9a9_di" bpmnElement="SequenceFlow_09nn9a9">
        <di:waypoint xsi:type="dc:Point" x="105" y="2721" />
        <di:waypoint xsi:type="dc:Point" x="428" y="2721" />
        <di:waypoint xsi:type="dc:Point" x="428" y="2721" />
        <di:waypoint xsi:type="dc:Point" x="714" y="2721" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="148" y="2694" width="21" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_027lz43_di" bpmnElement="SequenceFlow_027lz43">
        <di:waypoint xsi:type="dc:Point" x="80" y="2432" />
        <di:waypoint xsi:type="dc:Point" x="80" y="2333" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="50" y="2373" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_0t3mtod_di" bpmnElement="SequenceFlow_0t3mtod">
        <di:waypoint xsi:type="dc:Point" x="80" y="2104" />
        <di:waypoint xsi:type="dc:Point" x="80" y="1847" />
        <di:waypoint xsi:type="dc:Point" x="182" y="1847" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="50" y="1966" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="IntermediateCatchEvent_17pzn7m_di" bpmnElement="IntermediateCatchEvent_17pzn7m">
        <dc:Bounds x="83" y="1259" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="57" y="1295" width="80" height="24" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="IntermediateThrowEvent_0lt5ltv_di" bpmnElement="IntermediateThrowEvent_0lt5ltv">
        <dc:Bounds x="670" y="1274" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="644" y="1321" width="87" height="24" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="ExclusiveGateway_1xwfgxs_di" bpmnElement="ExclusiveGateway_1xwfgxs" isMarkerVisible="true">
        <dc:Bounds x="312" y="1252" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="369" y="1271" width="70" height="36" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="ExclusiveGateway_0jqgskx_di" bpmnElement="ExclusiveGateway_0jqgskx" isMarkerVisible="true">
        <dc:Bounds x="535" y="1267" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="515" y="1317" width="0" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="CallActivity_0xt1l8t_di" bpmnElement="CallActivity_0xt1l8t">
        <dc:Bounds x="400" y="1151" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_0hwsm6n_di" bpmnElement="SequenceFlow_0hwsm6n">
        <di:waypoint xsi:type="dc:Point" x="585" y="1292" />
        <di:waypoint xsi:type="dc:Point" x="628" y="1292" />
        <di:waypoint xsi:type="dc:Point" x="628" y="1292" />
        <di:waypoint xsi:type="dc:Point" x="670" y="1292" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="598" y="1292" width="0" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_0loks1u_di" bpmnElement="SequenceFlow_0loks1u">
        <di:waypoint xsi:type="dc:Point" x="337" y="1302" />
        <di:waypoint xsi:type="dc:Point" x="337" y="1352" />
        <di:waypoint xsi:type="dc:Point" x="560" y="1352" />
        <di:waypoint xsi:type="dc:Point" x="560" y="1317" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="441" y="1337" width="16" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_0b5ztoe_di" bpmnElement="SequenceFlow_0b5ztoe">
        <di:waypoint xsi:type="dc:Point" x="337" y="1252" />
        <di:waypoint xsi:type="dc:Point" x="337" y="1191" />
        <di:waypoint xsi:type="dc:Point" x="395" y="1191" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="353" y="1216.4242424242425" width="21" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_0e9e6fo_di" bpmnElement="SequenceFlow_0e9e6fo">
        <di:waypoint xsi:type="dc:Point" x="500" y="1191" />
        <di:waypoint xsi:type="dc:Point" x="560" y="1191" />
        <di:waypoint xsi:type="dc:Point" x="560" y="1267" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="485" y="1166" width="0" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="ScriptTask_05epj75_di" bpmnElement="ScriptTask_05epj75">
        <dc:Bounds x="184" y="1237" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_15vce9o_di" bpmnElement="SequenceFlow_15vce9o">
        <di:waypoint xsi:type="dc:Point" x="119" y="1277" />
        <di:waypoint xsi:type="dc:Point" x="184" y="1277" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="151.5" y="1256" width="0" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_0yecpl2_di" bpmnElement="SequenceFlow_0yecpl2">
        <di:waypoint xsi:type="dc:Point" x="284" y="1277" />
        <di:waypoint xsi:type="dc:Point" x="312" y="1277" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="298" y="1256" width="0" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="IntermediateThrowEvent_09vobbc_di" bpmnElement="IntermediateThrowEvent_09vobbc">
        <dc:Bounds x="670" y="803" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="651" y="844" width="85" height="36" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_0o6tf9p_di" bpmnElement="SequenceFlow_0o6tf9p">
        <di:waypoint xsi:type="dc:Point" x="562" y="821" />
        <di:waypoint xsi:type="dc:Point" x="670" y="821" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="616" y="800" width="0" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="CallActivity_1els13v_di" bpmnElement="CallActivity_1els13v">
        <dc:Bounds x="617" y="942" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="ScriptTask_0n1k77c_di" bpmnElement="ScriptTask_0n1k77c">
        <dc:Bounds x="450" y="942" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="IntermediateThrowEvent_1xsowxp_di" bpmnElement="IntermediateThrowEvent_1xsowxp">
        <dc:Bounds x="1027" y="1031" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="1001" y="1072" width="87" height="24" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="IntermediateCatchEvent_19a50jz_di" bpmnElement="IntermediateCatchEvent_19a50jz">
        <dc:Bounds x="77" y="1047" width="36" height="36" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="70" y="1083" width="48" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="ExclusiveGateway_0yae9sb_di" bpmnElement="ExclusiveGateway_0yae9sb" isMarkerVisible="true">
        <dc:Bounds x="326" y="1040" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="388" y="1059" width="67" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNShape id="ExclusiveGateway_1hlbkue_di" bpmnElement="ExclusiveGateway_1hlbkue" isMarkerVisible="true">
        <dc:Bounds x="892" y="1024" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="872" y="1079" width="0" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_0ws7fjn_di" bpmnElement="SequenceFlow_0ws7fjn">
        <di:waypoint xsi:type="dc:Point" x="550" y="983" />
        <di:waypoint xsi:type="dc:Point" x="580" y="983" />
        <di:waypoint xsi:type="dc:Point" x="580" y="981" />
        <di:waypoint xsi:type="dc:Point" x="617" y="981" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="550" y="982" width="0" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_1mkdhw9_di" bpmnElement="SequenceFlow_1mkdhw9">
        <di:waypoint xsi:type="dc:Point" x="717" y="982" />
        <di:waypoint xsi:type="dc:Point" x="917" y="982" />
        <di:waypoint xsi:type="dc:Point" x="917" y="1024" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="803" y="929" width="87" height="48" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_0p75l97_di" bpmnElement="SequenceFlow_0p75l97">
        <di:waypoint xsi:type="dc:Point" x="351" y="1040" />
        <di:waypoint xsi:type="dc:Point" x="351" y="982" />
        <di:waypoint xsi:type="dc:Point" x="450" y="982" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="361" y="1015" width="21" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_1ufio7c_di" bpmnElement="SequenceFlow_1ufio7c">
        <di:waypoint xsi:type="dc:Point" x="942" y="1049" />
        <di:waypoint xsi:type="dc:Point" x="1027" y="1049" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="940.5" y="1024" width="0" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_0aza7xq_di" bpmnElement="SequenceFlow_0aza7xq">
        <di:waypoint xsi:type="dc:Point" x="113" y="1065" />
        <di:waypoint xsi:type="dc:Point" x="326" y="1065" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="175.5" y="1040" width="0" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_13iuk3s_di" bpmnElement="SequenceFlow_13iuk3s">
        <di:waypoint xsi:type="dc:Point" x="351" y="1091" />
        <di:waypoint xsi:type="dc:Point" x="351" y="1114" />
        <di:waypoint xsi:type="dc:Point" x="917" y="1114" />
        <di:waypoint xsi:type="dc:Point" x="917" y="1075" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="596" y="1090" width="16" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="CallActivity_071yaf8_di" bpmnElement="CallActivity_071yaf8">
        <dc:Bounds x="326" y="1807" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_1sl79hn_di" bpmnElement="SequenceFlow_1sl79hn">
        <di:waypoint xsi:type="dc:Point" x="232" y="1847" />
        <di:waypoint xsi:type="dc:Point" x="261" y="1847" />
        <di:waypoint xsi:type="dc:Point" x="261" y="1847" />
        <di:waypoint xsi:type="dc:Point" x="326" y="1847" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="275.2413793103448" y="1827" width="21" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="ExclusiveGateway_0y158bb_di" bpmnElement="ExclusiveGateway_0y158bb" isMarkerVisible="true">
        <dc:Bounds x="526" y="1822" width="50" height="50" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="527" y="1783" width="48" height="24" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_0ne9n0g_di" bpmnElement="SequenceFlow_0ne9n0g">
        <di:waypoint xsi:type="dc:Point" x="426" y="1847" />
        <di:waypoint xsi:type="dc:Point" x="526" y="1847" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="476" y="1826" width="0" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_1a7e8l1_di" bpmnElement="SequenceFlow_1a7e8l1">
        <di:waypoint xsi:type="dc:Point" x="711" y="1967" />
        <di:waypoint xsi:type="dc:Point" x="981" y="1967" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="836" y="1946" width="21" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_00by7l7_di" bpmnElement="SequenceFlow_00by7l7">
        <di:waypoint xsi:type="dc:Point" x="551" y="1872" />
        <di:waypoint xsi:type="dc:Point" x="551" y="2129" />
        <di:waypoint xsi:type="dc:Point" x="1006" y="2129" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="558" y="1995" width="16" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_17cz98f_di" bpmnElement="SequenceFlow_17cz98f">
        <di:waypoint xsi:type="dc:Point" x="551" y="1872" />
        <di:waypoint xsi:type="dc:Point" x="551" y="1967" />
        <di:waypoint xsi:type="dc:Point" x="661" y="1967" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="556" y="1914" width="21" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="CallActivity_1cl4iu4_di" bpmnElement="CallActivity_1cl4iu4">
        <dc:Bounds x="157" y="2194" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_1mpsdaj_di" bpmnElement="SequenceFlow_1mpsdaj">
        <di:waypoint xsi:type="dc:Point" x="207" y="2194" />
        <di:waypoint xsi:type="dc:Point" x="207" y="2154" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="222" y="2168" width="0" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNEdge id="SequenceFlow_007p8k3_di" bpmnElement="SequenceFlow_007p8k3">
        <di:waypoint xsi:type="dc:Point" x="105" y="2308" />
        <di:waypoint xsi:type="dc:Point" x="207" y="2308" />
        <di:waypoint xsi:type="dc:Point" x="207" y="2274" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="146" y="2287" width="21" height="12" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
      <bpmndi:BPMNShape id="CallActivity_1ymzucb_di" bpmnElement="CallActivity_1ymzucb">
        <dc:Bounds x="400" y="690" width="100" height="80" />
      </bpmndi:BPMNShape>
      <bpmndi:BPMNEdge id="SequenceFlow_1cgpklo_di" bpmnElement="SequenceFlow_1cgpklo">
        <di:waypoint xsi:type="dc:Point" x="500" y="730" />
        <di:waypoint xsi:type="dc:Point" x="538" y="730" />
        <di:waypoint xsi:type="dc:Point" x="538" y="797" />
        <bpmndi:BPMNLabel>
          <dc:Bounds x="474" y="715" width="90" height="0" />
        </bpmndi:BPMNLabel>
      </bpmndi:BPMNEdge>
    </bpmndi:BPMNPlane>
  </bpmndi:BPMNDiagram>
</bpmn2:definitions>
del'>- p1:
- type: string
- description: p1
- p2:
- type: string
- description: p2
- myIPs:
- type: string
- description: ip1
- availability_zone_1:
- type: string
- description: myAvailability_zone_1
- names:
- type: string
- image:
- type: string
- flavor:
- type: string
-resources:
-
- myNovaServer1:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort1 }
- - port: { get_resource: myPort2 }
-
-
- myNovaServer2:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort3 }
- - port: { get_resource: myPort4 }
-
- myNovaServer3:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort5 }
- - port: { get_resource: myPort6 }
- myPort1:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort2:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
-
- myPort3:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort4:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
- myPort5:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort6:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
- SVCgroup1:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: affinity
- group_name: simpleGroup1
- level: host
- resources:
- - { get_resource: myNovaServer1}
- - { get_resource: myNovaServer2}
- SVCgroup2:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: affinity
- level: host
- resources:
- - { get_resource: myNovaServer3}
- SVCgroup3:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: affinity
- group_name: complexTwoGroups
- level: host
- resources:
- - { get_resource: SVCgroup1}
- - { get_resource: SVCgroup2} \ No newline at end of file
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/dynamicGroup/expectedoutputfiles/MainServiceTemplate.yaml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/dynamicGroup/expectedoutputfiles/MainServiceTemplate.yaml
deleted file mode 100644
index 9ceb3f0ab7..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/dynamicGroup/expectedoutputfiles/MainServiceTemplate.yaml
+++ /dev/null
@@ -1,202 +0,0 @@
-tosca_definitions_version: tosca_simple_yaml_1_0_0
-metadata:
- template_name: Main
-imports:
-- openecomp_heat_index:
- file: openecomp-heat/_index.yml
-node_types:
- org.openecomp.resource.vfc.nodes.heat.myNovaServer2:
- derived_from: org.openecomp.resource.vfc.nodes.heat.nova.Server
- org.openecomp.resource.vfc.nodes.heat.myNovaServer1:
- derived_from: org.openecomp.resource.vfc.nodes.heat.nova.Server
-topology_template:
- inputs:
- flavor:
- hidden: false
- immutable: false
- type: string
- p1:
- hidden: false
- immutable: false
- type: string
- description: p1
- image:
- hidden: false
- immutable: false
- type: string
- p2:
- hidden: false
- immutable: false
- type: string
- description: p2
- names:
- hidden: false
- immutable: false
- type: string
- group_name:
- hidden: false
- immutable: false
- type: string
- myIPs:
- hidden: false
- immutable: false
- type: string
- description: ip1
- availability_zone_1:
- hidden: false
- immutable: false
- type: string
- description: myAvailability_zone_1
- net:
- hidden: false
- immutable: false
- type: string
- description: UID of network
- node_templates:
- myNovaServer1:
- type: org.openecomp.resource.vfc.nodes.heat.myNovaServer1
- properties:
- flavor:
- get_input: flavor
- availability_zone:
- get_input: availability_zone_1
- image:
- get_input: image
- name:
- get_input:
- - names
- - 0
- myNovaServer2:
- type: org.openecomp.resource.vfc.nodes.heat.myNovaServer2
- properties:
- flavor:
- get_input: flavor
- availability_zone:
- get_input: availability_zone_1
- image:
- get_input: image
- name:
- get_input:
- - names
- - 0
- myPort2:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p2
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer1
- relationship: tosca.relationships.network.BindsTo
- myPort1:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p1
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer1
- relationship: tosca.relationships.network.BindsTo
- myPort4:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p2
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer2
- relationship: tosca.relationships.network.BindsTo
- myPort3:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p1
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer2
- relationship: tosca.relationships.network.BindsTo
- groups:
- main_group:
- type: org.openecomp.groups.heat.HeatStack
- properties:
- heat_file: ../Artifacts/main.yml
- description: cmaui server template for vMMSC
- members:
- - myNovaServer1
- - myNovaServer2
- - myPort2
- - myPort1
- - myPort4
- - myPort3 \ No newline at end of file
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/dynamicGroup/inputfiles/MANIFEST.json b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/dynamicGroup/inputfiles/MANIFEST.json
deleted file mode 100644
index 539e26b7ab..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/dynamicGroup/inputfiles/MANIFEST.json
+++ /dev/null
@@ -1,12 +0,0 @@
-{
- "name": "vMME_Small",
- "description": "HOT template to create 2 cinder volume attachment",
- "version": "2013-05-23",
- "data": [
- {
- "file": "main.yml",
- "type": "HEAT",
- "isBase": "true"
- }
- ]
-}
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/dynamicGroup/inputfiles/main.yml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/dynamicGroup/inputfiles/main.yml
deleted file mode 100644
index 0117d4b87c..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/dynamicGroup/inputfiles/main.yml
+++ /dev/null
@@ -1,111 +0,0 @@
-heat_template_version: 2013-05-23
-
-description: cmaui server template for vMMSC
-
-parameters:
- net:
- type: string
- description: UID of network
- p1:
- type: string
- description: p1
- p2:
- type: string
- description: p2
- myIPs:
- type: string
- description: ip1
- availability_zone_1:
- type: string
- description: myAvailability_zone_1
- names:
- type: string
- image:
- type: string
- flavor:
- type: string
- group_name:
- type: string
-resources:
-
- myNovaServer1:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort1 }
- - port: { get_resource: myPort2 }
-
-
- myNovaServer2:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort3 }
- - port: { get_resource: myPort4 }
-
- myPort1:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort2:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
-
- myPort3:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort4:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
- SVCgroup1:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: { get_param: group_name}
- group_name: simpleGroup
- level: host
- resources:
- - { get_resource: myNovaServer1}
- - { get_resource: myNovaServer2}
- SVCgroup2:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: 2
- group_name: simpleGroup
- level: host
- resources:
- - { get_resource: myNovaServer1}
- - { get_resource: myNovaServer2}
- SVCgroup3:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: { get_attr: [myPort4, show ]}
- group_name: simpleGroup
- level: host
- resources:
- - { get_resource: myNovaServer1}
- - { get_resource: myNovaServer2}
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/expectedoutputfiles/MainServiceTemplate.yaml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/expectedoutputfiles/MainServiceTemplate.yaml
deleted file mode 100644
index ced6638077..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/expectedoutputfiles/MainServiceTemplate.yaml
+++ /dev/null
@@ -1,610 +0,0 @@
-tosca_definitions_version: tosca_simple_yaml_1_0_0
-metadata:
- template_name: Main
-imports:
-- openecomp_heat_index:
- file: openecomp-heat/_index.yml
-node_types:
- org.openecomp.resource.vfc.nodes.heat.vipr_atm:
- derived_from: org.openecomp.resource.vfc.nodes.heat.nova.Server
-topology_template:
- inputs:
- vf_module_id:
- hidden: false
- immutable: false
- type: string
- description: Unique ID for the VF Module instance
- default: '5678'
- constraints:
- - max_length: 255
- - min_length: 1
- vipr_atm_ha_two_id:
- hidden: false
- immutable: false
- type: string
- description: Neutron UUID of the second high availability network for the vIPR-ATM firewal
- default: b75e27cf-452f-48ef-b107-8777e645c22d
- constraints: [
- ]
- vipr_atm_oam_net_security_groups:
- hidden: false
- immutable: false
- type: list
- description: List of the security group to apply to the vIPR-ATM firewall management port
- default:
- - c2369210-d154-4e4a-984d-047674beb5da
- entry_schema:
- type: string
- vipr_atm_valet_eg_0:
- hidden: false
- immutable: false
- type: string
- description: The Valet 1.0 Exclusivity Group for the vIPR-ATM firewall virtual machine
- default: CORE_INFRASTRUCTURE_VNFs
- constraints:
- - max_length: 255
- - min_length: 1
- vipr_atm_name_0:
- hidden: false
- immutable: false
- type: string
- description: Name of the vIPR-ATM firewall virtual machine
- default: zrcs1cctvpr001
- constraints:
- - max_length: 255
- - min_length: 1
- vipr_atm_image_name:
- hidden: false
- immutable: false
- type: string
- description: Image name of the vIPR-ATM firewall virtual machine
- default: vipr-atm-pan7.1
- constraints: [
- ]
- vnf_name:
- hidden: false
- immutable: false
- type: string
- description: Unique Name for this VF instance
- default: RD3TX100vfw
- constraints:
- - max_length: 255
- - min_length: 1
- vipr_atm_service_left_fqdn:
- hidden: false
- immutable: false
- type: string
- description: FQDN of the Left service network for the vIPR-ATM firewall
- default: default-domain:vIPR-ATM:vIPR-ATM-service-left
- constraints:
- - pattern: ^(?:[^:]{1,64}:){2}(?:[^:]{1,255})$
- vipr_atm_ha_one_ip_0:
- hidden: false
- immutable: false
- type: string
- description: Fixed IP to apply to the vIPR-ATM firewall ha0 port
- default: 192.168.35.1
- constraints:
- - pattern: ^(?:(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\.){3}(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)$
- vipr_atm_ha_one_id:
- hidden: false
- immutable: false
- type: string
- description: Neutron UUID of the first high availability network for the vIPR-ATM firewall
- default: 4abaafc8-b8af-40b9-b0d0-32bd91f958ee
- constraints: [
- ]
- vnf_id:
- hidden: false
- immutable: false
- type: string
- description: Unique ID for this VF instance
- default: '1234'
- constraints:
- - max_length: 255
- - min_length: 1
- vipr_atm_flavor_name:
- hidden: false
- immutable: false
- type: string
- description: Flavor for the vIPR-ATM firewall virtual machine
- default: m1.vipr-atm-pan
- constraints: [
- ]
- availability_zone_0:
- hidden: false
- immutable: false
- type: string
- description: Availability Zone for the vIPR-ATM firewall virtual machine
- default: nova
- constraints:
- - max_length: 255
- - min_length: 1
- vipr_atm_server_group:
- hidden: false
- immutable: false
- type: string
- description: Server Group with anti-affinity policy for the vIPR-ATM firewall virtual machine
- default: 885dbf7f-fcb2-42d2-a694-a5930ce35b71
- constraints:
- - max_length: 255
- - min_length: 1
- vipr_atm_service_left_ip_prefix:
- hidden: false
- immutable: false
- type: string
- description: Left vIPR-ATM service network IP address prefix
- default: 10.254.3.0
- constraints:
- - pattern: ^(?:(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\.){3}(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)$
- vipr_atm_ha_one_cidr:
- hidden: false
- immutable: false
- type: string
- description: vIPR-ATM private High Availability Network One IP address CIDR
- default: 192.168.35.0/29
- constraints:
- - pattern: ^(?:(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\.){3}(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\/(?:3[0-2]|[12]?[0-9])$
- vipr_atm_service_right_fqdn:
- hidden: false
- immutable: false
- type: string
- description: FQDN of the Right service network for the vIPR-ATM firewall
- default: default-domain:vIPR-ATM:vIPR-ATM-service-right
- constraints:
- - pattern: ^(?:[^:]{1,64}:){2}(?:[^:]{1,255})$
- vipr_atm_service_right_ip_prefix_len:
- hidden: false
- immutable: false
- type: float
- description: Right vIPR-ATM service network IP address prefix length
- default: 24
- constraints:
- - in_range:
- - 0
- - 32
- vipr_atm_ha_two_ip_0:
- hidden: false
- immutable: false
- type: string
- description: Fixed IP to apply to the vIPR-ATM firewall ha1 port
- default: 192.168.35.9
- constraints:
- - pattern: ^(?:(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\.){3}(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)$
- vipr_atm_ha_two_cidr:
- hidden: false
- immutable: false
- type: string
- description: vIPR-ATM private High Availability Network Two IP address CIDR
- default: 192.168.35.8/29
- constraints:
- - pattern: ^(?:(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\.){3}(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\/(?:3[0-2]|[12]?[0-9])$
- oam_net_id:
- hidden: false
- immutable: false
- type: string
- description: Neutron UUID for the managemnet network name of the vIPR-ATM firewall
- default: 1ac9d738-f545-413a-a9a2-b44309edc511
- constraints: [
- ]
- vipr_atm_service_right_ip_prefix:
- hidden: false
- immutable: false
- type: string
- description: Right vIPR-ATM service network IP address prefix
- default: 10.254.4.0
- constraints:
- - pattern: ^(?:(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\.){3}(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)$
- vipr_atm_service_left_ip_prefix_len:
- hidden: false
- immutable: false
- type: float
- description: Left vIPR-ATM service network IP address prefix length
- default: 24
- constraints:
- - in_range:
- - 0
- - 32
- vipr_atm_contrail_service_instance_fqdn:
- hidden: false
- immutable: false
- type: string
- description: FQDN of the vIPR-ATM firewall Contrail service instance for the port tuple
- default: default-domain:vIPR-ATM:vIPR-ATM-service-instance
- constraints:
- - pattern: ^(?:[^:]{1,64}:){2}(?:[^:]{1,255})$
- vipr_atm_ha_two_security_groups:
- hidden: false
- immutable: false
- type: list
- description: List of the security group to apply to the vIPR-ATM firewall ha1 port
- default:
- - 29e29a4a-b45d-42c2-ac14-b12a70036ae6
- entry_schema:
- type: string
- vipr_atm_ha_one_security_groups:
- hidden: false
- immutable: false
- type: list
- description: List of the security group to apply to the vIPR-ATM firewall ha0 port
- default:
- - cbf8049e-69e8-48c3-a06f-255634391403
- entry_schema:
- type: string
- node_templates:
- vIPR_ATM_OAM_SG:
- type: org.openecomp.resource.vfc.rules.nodes.heat.network.neutron.SecurityRules
- properties:
- name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_OAM_SG
- params:
- VNF_NAME:
- get_input: vnf_name
- rules:
- - protocol: tcp
- remote_ip_prefix: 0.0.0.0/0
- port_range_max: 22
- port_range_min: 22
- - protocol: tcp
- remote_ip_prefix: 0.0.0.0/0
- port_range_max: 443
- port_range_min: 443
- - protocol: tcp
- remote_ip_prefix: 0.0.0.0/0
- port_range_max: 3978
- port_range_min: 3978
- - protocol: icmp
- remote_ip_prefix: 0.0.0.0/0
- vIPR_ATM_Left_RVMI:
- type: org.openecomp.resource.cp.nodes.heat.contrailV2.VirtualMachineInterface
- properties:
- name:
- str_replace:
- template: VM_NAME_PORT_3
- params:
- VM_NAME:
- get_input: vipr_atm_name_0
- virtual_network_refs:
- - get_input: vipr_atm_service_left_fqdn
- virtual_machine_interface_properties:
- service_interface_type: left
- port_tuple_refs:
- - UNSUPPORTED_RESOURCE_vIPR_ATM_RPT
- requirements:
- - link:
- capability: tosca.capabilities.network.Linkable
- node: vIPR_ATM_Service_Left
- relationship: tosca.relationships.network.LinksTo
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: vIPR_ATM_Firewall
- relationship: tosca.relationships.network.BindsTo
- vIPR_ATM_Service_Right:
- type: org.openecomp.resource.vl.nodes.heat.network.contrailV2.VirtualNetwork
- properties:
- network_ipam_refs_data:
- - network_ipam_refs_data_ipam_subnets:
- - network_ipam_refs_data_ipam_subnets_subnet:
- network_ipam_refs_data_ipam_subnets_subnet_ip_prefix_len:
- get_input: vipr_atm_service_right_ip_prefix_len
- network_ipam_refs_data_ipam_subnets_subnet_ip_prefix:
- get_input: vipr_atm_service_right_ip_prefix
- network_name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_SERVICE_RIGHT_NETWORK
- params:
- VNF_NAME:
- get_input: vnf_name
- network_ipam_refs:
- - default-domain:default-project:default-network-ipam
- vIPR_ATM_Ha_Two:
- type: org.openecomp.resource.vl.nodes.heat.network.neutron.Net
- properties:
- dhcp_enabled: false
- network_name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_HA_TWO_NETWORK
- params:
- VNF_NAME:
- get_input: vnf_name
- subnets:
- vIPR_ATM_Ha_Two_Subnet:
- enable_dhcp: false
- name:
- str_replace:
- template: NET_NAME_SUBNET
- params:
- NET_NAME:
- get_attribute:
- - vIPR_ATM_Ha_Two
- - network_name
- cidr:
- get_input: vipr_atm_ha_two_cidr
- requirements:
- - dependency:
- capability: tosca.capabilities.Node
- node: vIPR_ATM_Ha_Two
- relationship: tosca.relationships.DependsOn
- vIPR_ATM_HA_TWO_SG:
- type: org.openecomp.resource.vfc.rules.nodes.heat.network.neutron.SecurityRules
- properties:
- name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_HA_TWO_SG
- params:
- VNF_NAME:
- get_input: vnf_name
- rules:
- - remote_mode: remote_group_id
- vIPR_ATM_HA_ONE_SG:
- type: org.openecomp.resource.vfc.rules.nodes.heat.network.neutron.SecurityRules
- properties:
- name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_HA_ONE_SG
- params:
- VNF_NAME:
- get_input: vnf_name
- rules:
- - remote_mode: remote_group_id
- vIPR_ATM_Ha_One:
- type: org.openecomp.resource.vl.nodes.heat.network.neutron.Net
- properties:
- dhcp_enabled: false
- network_name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_HA_ONE_NETWORK
- params:
- VNF_NAME:
- get_input: vnf_name
- subnets:
- vIPR_ATM_Ha_One_Subnet:
- enable_dhcp: false
- name:
- str_replace:
- template: NET_NAME_SUBNET
- params:
- NET_NAME:
- get_attribute:
- - vIPR_ATM_Ha_One
- - network_name
- cidr:
- get_input: vipr_atm_ha_one_cidr
- requirements:
- - dependency:
- capability: tosca.capabilities.Node
- node: vIPR_ATM_Ha_One
- relationship: tosca.relationships.DependsOn
- vIPR_ATM_OAM_0_Port:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: false
- floating_ip_count_required:
- is_required: false
- security_groups:
- get_input: vipr_atm_oam_net_security_groups
- mac_requirements:
- mac_count_required:
- is_required: false
- name:
- str_replace:
- template: VM_NAME_PORT_0
- params:
- VM_NAME:
- get_input: vipr_atm_name_0
- network_role_tag: oam
- network:
- get_input: oam_net_id
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: vIPR_ATM_Firewall
- relationship: tosca.relationships.network.BindsTo
- vIPR_ATM_Service_Left:
- type: org.openecomp.resource.vl.nodes.heat.network.contrailV2.VirtualNetwork
- properties:
- network_ipam_refs_data:
- - network_ipam_refs_data_ipam_subnets:
- - network_ipam_refs_data_ipam_subnets_subnet:
- network_ipam_refs_data_ipam_subnets_subnet_ip_prefix_len:
- get_input: vipr_atm_service_left_ip_prefix_len
- network_ipam_refs_data_ipam_subnets_subnet_ip_prefix:
- get_input: vipr_atm_service_left_ip_prefix
- network_name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_SERVICE_LEFT_NETWORK
- params:
- VNF_NAME:
- get_input: vnf_name
- network_ipam_refs:
- - default-domain:default-project:default-network-ipam
- vIPR_ATM_Right_RVMI:
- type: org.openecomp.resource.cp.nodes.heat.contrailV2.VirtualMachineInterface
- properties:
- name:
- str_replace:
- template: VM_NAME_PORT_4
- params:
- VM_NAME:
- get_input: vipr_atm_name_0
- virtual_network_refs:
- - get_input: vipr_atm_service_right_fqdn
- virtual_machine_interface_properties:
- service_interface_type: right
- port_tuple_refs:
- - UNSUPPORTED_RESOURCE_vIPR_ATM_RPT
- requirements:
- - link:
- capability: tosca.capabilities.network.Linkable
- node: vIPR_ATM_Service_Right
- relationship: tosca.relationships.network.LinksTo
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: vIPR_ATM_Firewall
- relationship: tosca.relationships.network.BindsTo
- vIPR_ATM_Ha_Two_0_Port:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- get_input: vipr_atm_ha_two_security_groups
- fixed_ips:
- - ip_address:
- get_input: vipr_atm_ha_two_ip_0
- mac_requirements:
- mac_count_required:
- is_required: false
- name:
- str_replace:
- template: VM_NAME_PORT_2
- params:
- VM_NAME:
- get_input: vipr_atm_name_0
- network:
- get_input: vipr_atm_ha_two_id
- requirements:
- - link:
- capability: tosca.capabilities.network.Linkable
- node: vIPR_ATM_Ha_Two
- relationship: tosca.relationships.network.LinksTo
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: vIPR_ATM_Firewall
- relationship: tosca.relationships.network.BindsTo
- vIPR_ATM_Firewall:
- type: org.openecomp.resource.vfc.nodes.heat.vipr_atm
- properties:
- flavor:
- get_input: vipr_atm_flavor_name
- availability_zone:
- get_input: availability_zone_0
- image:
- get_input: vipr_atm_image_name
- metadata:
- vf_module_id:
- get_input: vf_module_id
- vnf_id:
- get_input: vnf_id
- vnf_name:
- get_input: vnf_name
- contrail_service_instance_ind: true
- name:
- get_input: vipr_atm_name_0
- scheduler_hints:
- group:
- get_input: vipr_atm_server_group
- vIPR_ATM_Ha_One_0_Port:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- get_input: vipr_atm_ha_one_security_groups
- fixed_ips:
- - ip_address:
- get_input: vipr_atm_ha_one_ip_0
- mac_requirements:
- mac_count_required:
- is_required: false
- name:
- str_replace:
- template: VM_NAME_PORT_1
- params:
- VM_NAME:
- get_input: vipr_atm_name_0
- network:
- get_input: vipr_atm_ha_one_id
- requirements:
- - link:
- capability: tosca.capabilities.network.Linkable
- node: vIPR_ATM_Ha_One
- relationship: tosca.relationships.network.LinksTo
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: vIPR_ATM_Firewall
- relationship: tosca.relationships.network.BindsTo
- groups:
- vIPR_ATM_Server_Group_group:
- type: tosca.groups.Root
- members:
- - vIPR_ATM_Firewall
- vIPR-ATM-Base_group:
- type: org.openecomp.groups.heat.HeatStack
- properties:
- heat_file: ../Artifacts/vIPR-ATM-Base.yaml
- description: "HOT template to create the vIPR-ATM firwall service template\
- \ and instance. This template creates the following - Two service virtual\
- \ networks for each side (left and right) of the vIPR-ATM firewalls - Two\
- \ virtual networks for high availability between the vIPR-ATM firewalls\
- \ - A Contrail Service Template for the vIPR-ATM service - A Contrail Service\
- \ Instance for the vIPR-ATM service\nThe firewall virtual machines connected\
- \ to these created networks and managed by the vIPR-ATM service instance\
- \ will be created by the vIPR-ATM-Instance.yaml HOT template.\nNetwork Policy\
- \ that includes the vIPR-ATM service instance will be created by client\
- \ specific HOT templates or Contrail APIs. \n"
- members:
- - vIPR_ATM_Ha_One
- - vIPR_ATM_OAM_SG
- - vIPR_ATM_Service_Left
- - vIPR_ATM_Service_Right
- - vIPR_ATM_Ha_Two
- - vIPR_ATM_HA_TWO_SG
- - vIPR_ATM_HA_ONE_SG
- vIPR_ATM_Valet_EG_0_group:
- type: tosca.groups.Root
- members:
- - vIPR_ATM_Firewall
- vIPR-ATM-Instance_group:
- type: org.openecomp.groups.heat.HeatStack
- properties:
- heat_file: ../Artifacts/vIPR-ATM-Instance.yaml
- description: |
- HOT template to create a vIPR-ATM firwall service instance. This template creates the following - Contrail Port Tuple for this firwall service instance - Virtual machine interfaces and IP addresses attached to the two service virtual networks. These virtual machine interfaces will be used by the vIPR firewall service instance - Neutron ports for OAM, HA0, and HA1 networks - OS::Nova::Server with the created virtual machine interface and ports. This is the firewall service instance virtual machine.
- members:
- - vIPR_ATM_Left_RVMI
- - vIPR_ATM_OAM_0_Port
- - vIPR_ATM_Right_RVMI
- - vIPR_ATM_Ha_Two_0_Port
- - vIPR_ATM_Firewall
- - vIPR_ATM_Ha_One_0_Port
- outputs:
- vipr_atm_contrail_service_instance_fqdn:
- description: The FQDN for the Contrail Service Instance that is needed to create tenant OAM network policy to service change through the vIPR-ATM firewall.
- value:
- list_join:
- - ':'
- - get_attr:
- - vIPR_ATM_Service_Instance
- - fq_name
- policies:
- vIPR_ATM_Valet_EG_0_policy:
- type: org.openecomp.policies.placement.valet.Exclusivity
- properties:
- level: host
- targets:
- - vIPR_ATM_Valet_EG_0_group
- vIPR_ATM_Server_Group_policy:
- type: org.openecomp.policies.placement.Antilocate
- properties:
- name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_SERVER_GROUP
- params:
- VNF_NAME:
- get_input: vnf_name
- container_type: host
- targets:
- - vIPR_ATM_Server_Group_group \ No newline at end of file
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/MANIFEST.json b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/MANIFEST.json
deleted file mode 100644
index 96b8ed1d27..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/MANIFEST.json
+++ /dev/null
@@ -1,28 +0,0 @@
-{
- "name": "vIPR",
- "description": "HOT template to create vIPR",
- "data": [
- {
- "file": "vIPR-ATM-Base.yaml",
- "type": "HEAT",
- "isBase": "true",
- "data": [
- {
- "file": "vIPR-ATM-Base.env",
- "type": "HEAT_ENV"
- }
- ]
- },
- {
- "file": "vIPR-ATM-Instance.yaml",
- "type": "HEAT",
- "isBase": "false",
- "data": [
- {
- "file": "vIPR-ATM-Instance.env",
- "type": "HEAT_ENV"
- }
- ]
- }
- ]
-} \ No newline at end of file
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/vIPR-ATM-Base.env b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/vIPR-ATM-Base.env
deleted file mode 100644
index acf1ac5d03..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/vIPR-ATM-Base.env
+++ /dev/null
@@ -1,14 +0,0 @@
-parameters:
- vipr_atm_service_left_ip_prefix: 10.254.3.0
- vipr_atm_service_left_ip_prefix_len: 24
-
- vipr_atm_service_right_ip_prefix: 10.254.4.0
- vipr_atm_service_right_ip_prefix_len: 24
-
- vipr_atm_ha_one_cidr: 192.168.35.0/29
- vipr_atm_ha_two_cidr: 192.168.35.8/29
-
- vnf_id: '1234'
- vnf_name: 'RD3TX100vfw'
- vf_module_id: '5678'
-
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/vIPR-ATM-Base.yaml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/vIPR-ATM-Base.yaml
deleted file mode 100644
index fd70465878..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/vIPR-ATM-Base.yaml
+++ /dev/null
@@ -1,318 +0,0 @@
-heat_template_version: 2015-04-30
-
-description: >
- HOT template to create the vIPR-ATM firwall service template and instance.
- This template creates the following
- - Two service virtual networks for each side (left and right) of the vIPR-ATM firewalls
- - Two virtual networks for high availability between the vIPR-ATM firewalls
- - A Contrail Service Template for the vIPR-ATM service
- - A Contrail Service Instance for the vIPR-ATM service
-
- The firewall virtual machines connected to these created networks and managed by the
- vIPR-ATM service instance will be created by the vIPR-ATM-Instance.yaml HOT template.
-
- Network Policy that includes the vIPR-ATM service instance will be created by client
- specific HOT templates or Contrail APIs.
-
-parameters:
- vipr_atm_service_left_ip_prefix:
- type: string
- constraints:
- - allowed_pattern: ^(?:(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\.){3}(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)$
- description: A valid IPv4 dot quad IP address.
- description: Left vIPR-ATM service network IP address prefix
- vipr_atm_service_left_ip_prefix_len:
- type: number
- constraints:
- - range: { min: 0, max: 32 }
- description: a valid IPv4 prefix value from 0 to 32.
- description: Left vIPR-ATM service network IP address prefix length
-
- vipr_atm_service_right_ip_prefix:
- type: string
- constraints:
- - allowed_pattern: ^(?:(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\.){3}(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)$
- description: A valid IPv4 dot quad IP address.
- description: Right vIPR-ATM service network IP address prefix
- vipr_atm_service_right_ip_prefix_len:
- type: number
- constraints:
- - range: { min: 0, max: 32 }
- description: a valid IPv4 prefix value from 0 to 32.
- description: Right vIPR-ATM service network IP address prefix length
-
- vipr_atm_ha_one_cidr:
- type: string
- constraints:
- - allowed_pattern: ^(?:(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\.){3}(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\/(?:3[0-2]|[12]?[0-9])$
- description: A valid IPv4 CIDR (dot quad IP address / previx value 0 to 32).
- description: vIPR-ATM private High Availability Network One IP address CIDR
-
- vipr_atm_ha_two_cidr:
- type: string
- constraints:
- - allowed_pattern: ^(?:(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\.){3}(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\/(?:3[0-2]|[12]?[0-9])$
- description: A valid IPv4 CIDR (dot quad IP address / previx value 0 to 32).
- description: vIPR-ATM private High Availability Network Two IP address CIDR
-
- vnf_id:
- type: string
- description: Unique ID for this VNF instance
- vnf_name:
- type: string
- description: Unique name for this VNF instance
- vf_module_id:
- type: string
- description: Unique ID for the VF Module instance
-
-resources:
- vIPR_ATM_Service_Left:
- type: OS::ContrailV2::VirtualNetwork
- properties:
- name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_SERVICE_LEFT_NETWORK
- params:
- VNF_NAME: { get_param: vnf_name }
- network_ipam_refs: ["default-domain:default-project:default-network-ipam"]
- network_ipam_refs_data:
- [{
- network_ipam_refs_data_ipam_subnets:
- [{
- network_ipam_refs_data_ipam_subnets_subnet:
- {
- network_ipam_refs_data_ipam_subnets_subnet_ip_prefix:
- {
- get_param: vipr_atm_service_left_ip_prefix
- },
- network_ipam_refs_data_ipam_subnets_subnet_ip_prefix_len:
- {
- get_param: vipr_atm_service_left_ip_prefix_len
- },
- },
- }]
- }]
-
- vIPR_ATM_Service_Right:
- type: OS::ContrailV2::VirtualNetwork
- properties:
- name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_SERVICE_RIGHT_NETWORK
- params:
- VNF_NAME: { get_param: vnf_name }
- network_ipam_refs: ["default-domain:default-project:default-network-ipam"]
- network_ipam_refs_data:
- [{
- network_ipam_refs_data_ipam_subnets:
- [{
- network_ipam_refs_data_ipam_subnets_subnet:
- {
- network_ipam_refs_data_ipam_subnets_subnet_ip_prefix:
- {
- get_param: vipr_atm_service_right_ip_prefix
- },
- network_ipam_refs_data_ipam_subnets_subnet_ip_prefix_len:
- {
- get_param: vipr_atm_service_right_ip_prefix_len
- },
- },
- }]
- }]
-
- vIPR_ATM_Ha_One:
- type: OS::Neutron::Net
- properties:
- name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_HA_ONE_NETWORK
- params:
- VNF_NAME: { get_param: vnf_name }
-
- vIPR_ATM_Ha_One_Subnet:
- type: OS::Neutron::Subnet
- depends_on:
- - vIPR_ATM_Ha_One
- properties:
- name:
- str_replace:
- template: NET_NAME_SUBNET
- params:
- NET_NAME: { get_attr: [ vIPR_ATM_Ha_One, name ] }
- network: { get_resource: vIPR_ATM_Ha_One }
- cidr: { get_param: vipr_atm_ha_one_cidr }
- enable_dhcp: False
- gateway_ip: null
-
- vIPR_ATM_Ha_Two:
- type: OS::Neutron::Net
- properties:
- name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_HA_TWO_NETWORK
- params:
- VNF_NAME: { get_param: vnf_name }
-
- vIPR_ATM_Ha_Two_Subnet:
- type: OS::Neutron::Subnet
- depends_on:
- - vIPR_ATM_Ha_Two
- properties:
- name:
- str_replace:
- template: NET_NAME_SUBNET
- params:
- NET_NAME: { get_attr: [ vIPR_ATM_Ha_Two, name ] }
- network: { get_resource: vIPR_ATM_Ha_Two }
- cidr: { get_param: vipr_atm_ha_two_cidr }
- enable_dhcp: False
- gateway_ip: null
-
- vIPR_ATM_Service_Template:
- type: OS::ContrailV2::ServiceTemplate
- properties:
- name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_SERVICE_TEMPLATE
- params:
- VNF_NAME: { get_param: vnf_name }
- service_template_properties:
- {
- service_template_properties_version: 2,
- service_template_properties_service_mode: transparent,
- service_template_properties_service_type: firewall,
- service_template_properties_service_virtualization_type: virtual-machine,
- service_template_properties_interface_type:
- [
- {
- service_template_properties_interface_type_service_interface_type: Left,
- service_template_properties_interface_type_shared_ip: True,
- },
- {
- service_template_properties_interface_type_service_interface_type: Right,
- service_template_properties_interface_type_shared_ip: True,
- }
- ],
- }
- domain: default-domain
-
- vIPR_ATM_Service_Instance:
- type: OS::ContrailV2::ServiceInstance
- depends_on:
- - vIPR_ATM_Service_Left
- - vIPR_ATM_Service_Right
- - vIPR_ATM_Service_Template
- properties:
- name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_SERVICE_INSTANCE
- params:
- VNF_NAME: { get_param: vnf_name }
- service_template_refs: [{ get_resource: vIPR_ATM_Service_Template }]
- service_instance_properties:
- {
- service_instance_properties_ha_mode: active-active,
- service_instance_properties_left_virtual_network:
- {
- list_join: [':', { get_attr: [ vIPR_ATM_Service_Left, fq_name ] } ]
- },
- service_instance_properties_right_virtual_network:
- {
- list_join: [':', { get_attr: [ vIPR_ATM_Service_Right, fq_name ] } ]
- },
- }
-
- # Management (OAM) Port Security Group to allow ingress SSH
- vIPR_ATM_OAM_SG:
- type: OS::Neutron::SecurityGroup
- properties:
- name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_OAM_SG
- params:
- VNF_NAME: { get_param: vnf_name }
- rules:
- - remote_ip_prefix: 0.0.0.0/0
- protocol: tcp
- port_range_min: 22
- port_range_max: 22
- - remote_ip_prefix: 0.0.0.0/0
- protocol: tcp
- port_range_min: 443
- port_range_max: 443
- - remote_ip_prefix: 0.0.0.0/0
- protocol: tcp
- port_range_min: 3978
- port_range_max: 3978
- - remote_ip_prefix: 0.0.0.0/0
- protocol: icmp
-
- vIPR_ATM_HA_ONE_SG:
- type: OS::Neutron::SecurityGroup
- properties:
- name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_HA_ONE_SG
- params:
- VNF_NAME: { get_param: vnf_name }
- rules:
- - remote_mode: remote_group_id
-
- vIPR_ATM_HA_TWO_SG:
- type: OS::Neutron::SecurityGroup
- properties:
- name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_HA_TWO_SG
- params:
- VNF_NAME: { get_param: vnf_name }
- rules:
- - remote_mode: remote_group_id
-
- vIPR_ATM_Server_Group:
- type: OS::Nova::ServerGroup
- properties:
- name:
- str_replace:
- template: VNF_NAME_VIPR_ATM_SERVER_GROUP
- params:
- VNF_NAME: { get_param: vnf_name }
- policies:
- - anti-affinity
-
-outputs:
- vipr_atm_contrail_service_instance_fqdn:
- description: The FQDN for the Contrail Service Instance that is needed to create tenant OAM network policy to service change through the vIPR-ATM firewall.
- value: { list_join: [':', { get_attr: [ vIPR_ATM_Service_Instance, fq_name ] } ] }
-
- vipr_atm_service_left_fqdn:
- description: The FQDN for the vIPR-ATM Service Left network.
- value: { list_join: [':', { get_attr: [ vIPR_ATM_Service_Left, fq_name ] } ] }
-
- vipr_atm_service_right_fqdn:
- description: The FQDN for the vIPR-ATM Service Right network.
- value: { list_join: [':', { get_attr: [ vIPR_ATM_Service_Right, fq_name ] } ] }
-
- vipr_atm_ha_one_id:
- description: The UUID for the vIPR-ATM HA One network.
- value: { get_resource: vIPR_ATM_Ha_One }
-
- vipr_atm_ha_two_id:
- description: The UUID for the vIPR-ATM HA Two network.
- value: { get_resource: vIPR_ATM_Ha_Two }
-
- vipr_atm_oam_net_security_groups:
- description: The list of OpenStack Security Groups to appliy to the vIPR-ATM-Instance\'s OAM network.
- value: [ { get_resource: vIPR_ATM_OAM_SG } ]
-
- vipr_atm_ha_one_security_groups:
- description: The list of OpenStack Security Groups to appliy to the vIPR-ATM-Instance\'s HA One network.
- value: [ { get_resource: vIPR_ATM_HA_ONE_SG } ]
-
- vipr_atm_ha_two_security_groups:
- description: The list of OpenStack Security Groups to appliy to the vIPR-ATM-Instance\'s HA Two network.
- value: [ { get_resource: vIPR_ATM_HA_TWO_SG } ]
-
- vipr_atm_server_group:
- description: The UUID for the vIPR-ATM OpenStack Server Group
- value: { get_resource: vIPR_ATM_Server_Group }
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/vIPR-ATM-Instance.env b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/vIPR-ATM-Instance.env
deleted file mode 100644
index 77e8b8630a..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/vIPR-ATM-Instance.env
+++ /dev/null
@@ -1,28 +0,0 @@
-parameters:
- oam_net_id: 1ac9d738-f545-413a-a9a2-b44309edc511
- vipr_atm_oam_net_security_groups: [ "c2369210-d154-4e4a-984d-047674beb5da" ]
-
- vipr_atm_contrail_service_instance_fqdn: default-domain:vIPR-ATM:vIPR-ATM-service-instance
-
- vipr_atm_service_left_fqdn: default-domain:vIPR-ATM:vIPR-ATM-service-left
- vipr_atm_service_right_fqdn: default-domain:vIPR-ATM:vIPR-ATM-service-right
-
- vipr_atm_ha_one_id: 4abaafc8-b8af-40b9-b0d0-32bd91f958ee
- vipr_atm_ha_one_ip_0: 192.168.35.1
- vipr_atm_ha_one_security_groups: [ "cbf8049e-69e8-48c3-a06f-255634391403" ]
-
- vipr_atm_ha_two_id: b75e27cf-452f-48ef-b107-8777e645c22d
- vipr_atm_ha_two_ip_0: 192.168.35.9
- vipr_atm_ha_two_security_groups: [ "29e29a4a-b45d-42c2-ac14-b12a70036ae6" ]
-
- vipr_atm_server_group: 885dbf7f-fcb2-42d2-a694-a5930ce35b71
-
- vipr_atm_name_0: zrcs1cctvpr001
- vipr_atm_image_name: vipr-atm-pan7.1
- vipr_atm_flavor_name: m1.vipr-atm-pan
- vipr_atm_valet_eg_0: CORE_INFRASTRUCTURE_VNFs
- availability_zone_0: 'nova'
-
- vnf_id: '1234'
- vnf_name: 'RD3TX100vfw'
- vf_module_id: '5678'
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/vIPR-ATM-Instance.yaml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/vIPR-ATM-Instance.yaml
deleted file mode 100644
index ea3b8ab659..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/groupNameParameter/inputfiles/vIPR-ATM-Instance.yaml
+++ /dev/null
@@ -1,299 +0,0 @@
-heat_template_version: 2015-04-30
-
-description: >
- HOT template to create a vIPR-ATM firwall service instance.
- This template creates the following
- - Contrail Port Tuple for this firwall service instance
- - Virtual machine interfaces and IP addresses attached to the two
- service virtual networks. These virtual machine interfaces will be used
- by the vIPR firewall service instance
- - Neutron ports for OAM, HA0, and HA1 networks
- - OS::Nova::Server with the created virtual machine interface and ports. This
- is the firewall service instance virtual machine.
-
-parameters:
- oam_net_id:
- type: string
- constraints:
- - custom_constraint: neutron.network
- description: This network must currently exist.
- description: Neutron UUID for the managemnet network name of the vIPR-ATM firewall
- vipr_atm_oam_net_security_groups:
- type: comma_delimited_list
- description: List of the security group to apply to the vIPR-ATM firewall management port
-
- vipr_atm_service_left_fqdn:
- type: string
- constraints:
- - allowed_pattern: ^(?:[^:]{1,64}:){2}(?:[^:]{1,255})$
- description: |
- A valid Contrail FQDN that contains three parts seperated by ':'.
- The first two part are 1 to 64 characters long and must not contain ':'.
- The third part is 1 to 255 characters long and must not contain ':'.
- description: FQDN of the Left service network for the vIPR-ATM firewall
-
- vipr_atm_service_right_fqdn:
- type: string
- constraints:
- - allowed_pattern: ^(?:[^:]{1,64}:){2}(?:[^:]{1,255})$
- description: |
- A valid Contrail FQDN that contains three parts seperated by ':'.
- The first two part are 1 to 64 characters long and must not contain ':'.
- The third part is 1 to 255 characters long and must not contain ':'.
- description: FQDN of the Right service network for the vIPR-ATM firewall
-
- vipr_atm_ha_one_id:
- type: string
- constraints:
- - custom_constraint: neutron.network
- description: This network must currently exist.
- description: Neutron UUID of the first high availability network for the vIPR-ATM firewall
- vipr_atm_ha_one_ip_0:
- type: string
- constraints:
- - allowed_pattern: ^(?:(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\.){3}(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)$
- description: A valid IPv4 dot quad IP address.
- description: Fixed IP to apply to the vIPR-ATM firewall ha0 port
- vipr_atm_ha_one_security_groups:
- type: comma_delimited_list
- description: List of the security group to apply to the vIPR-ATM firewall ha0 port
-
- vipr_atm_ha_two_id:
- type: string
- constraints:
- - custom_constraint: neutron.network
- description: This network must currently exist.
- description: Neutron UUID of the second high availability network for the vIPR-ATM firewal
- vipr_atm_ha_two_ip_0:
- type: string
- constraints:
- - allowed_pattern: ^(?:(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\.){3}(?:25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)$
- description: A valid IPv4 dot quad IP address.
- description: Fixed IP to apply to the vIPR-ATM firewall ha1 port
- vipr_atm_ha_two_security_groups:
- type: comma_delimited_list
- description: List of the security group to apply to the vIPR-ATM firewall ha1 port
-
- vipr_atm_contrail_service_instance_fqdn:
- type: string
- constraints:
- - allowed_pattern: ^(?:[^:]{1,64}:){2}(?:[^:]{1,255})$
- description: |
- A valid Contrail FQDN that contains three parts seperated by ':'.
- The first two part are 1 to 64 characters long and must not contain ':'.
- The third part is 1 to 255 characters long and must not contain ':'.
- description: FQDN of the vIPR-ATM firewall Contrail service instance for the port tuple
-
- vipr_atm_name_0:
- type: string
- constraints:
- - length: { min: 1, max: 255 }
- description: A string with length of at least 1 and less than 255.
- description: Name of the vIPR-ATM firewall virtual machine
- vipr_atm_image_name:
- type: string
- constraints:
- - custom_constraint: glance.image
- description: This image must currently exist.
- description: Image name of the vIPR-ATM firewall virtual machine
- vipr_atm_flavor_name:
- type: string
- constraints:
- - custom_constraint: nova.flavor
- description: This flavor must currently exist.
- description: Flavor for the vIPR-ATM firewall virtual machine
- vipr_atm_server_group:
- type: string
- constraints:
- - length: { min: 1, max: 255 }
- description: A string with length of at least 1 and less than 255.
- description: Server Group with anti-affinity policy for the vIPR-ATM firewall virtual machine
- vipr_atm_valet_eg_0:
- type: string
- constraints:
- - length: { min: 1, max: 255 }
- description: A string with length of at least 1 and less than 255.
- description: The Valet 1.0 Exclusivity Group for the vIPR-ATM firewall virtual machine
- availability_zone_0:
- type: string
- constraints:
- - length: { min: 1, max: 255 }
- description: A string with length of at least 1 and less than 255.
- description: Availability Zone for the vIPR-ATM firewall virtual machine
-
- vnf_id:
- type: string
- constraints:
- - length: { min: 1, max: 255 }
- description: A string with length of at least 1 and less than 255.
- description: Unique ID for this VF instance
- vnf_name:
- type: string
- constraints:
- - length: { min: 1, max: 255 }
- description: A string with length of at least 1 and less than 255.
- description: Unique Name for this VF instance
- vf_module_id:
- type: string
- constraints:
- - length: { min: 1, max: 255 }
- description: A string with length of at least 1 and less than 255.
- description: Unique ID for the VF Module instance
-
-resources:
- # Create the Port Tuple
- vIPR_ATM_RPT:
- type: OS::ContrailV2::PortTuple
- properties:
- name:
- str_replace:
- template: VM_NAME_PORT_TUPLE
- params:
- VM_NAME: { get_param: vipr_atm_name_0 }
- service_instance: { get_param: vipr_atm_contrail_service_instance_fqdn }
-
- # Left Port (Virtual Machine Interface)
- vIPR_ATM_Left_RVMI:
- type: OS::ContrailV2::VirtualMachineInterface
- depends_on: [ vIPR_ATM_RPT ]
- properties:
- name:
- str_replace:
- template: VM_NAME_PORT_3
- params:
- VM_NAME: { get_param: vipr_atm_name_0 }
- virtual_machine_interface_properties:
- {
- virtual_machine_interface_properties_service_interface_type: 'left'
- }
- port_tuple_refs: [{ get_resource: vIPR_ATM_RPT }]
- virtual_network_refs: [{ get_param: vipr_atm_service_left_fqdn }]
-
- # Right Port (Virtual Machine Interface)
- vIPR_ATM_Right_RVMI:
- type: OS::ContrailV2::VirtualMachineInterface
- depends_on: [ vIPR_ATM_RPT ]
- properties:
- name:
- str_replace:
- template: VM_NAME_PORT_4
- params:
- VM_NAME: { get_param: vipr_atm_name_0 }
- virtual_machine_interface_properties:
- {
- virtual_machine_interface_properties_service_interface_type: 'right'
- }
- port_tuple_refs: [{ get_resource: vIPR_ATM_RPT }]
- virtual_network_refs: [{ get_param: vipr_atm_service_right_fqdn }]
-
- # Left Instance IP
- vIPR_ATM_Left_RII:
- type: OS::ContrailV2::InstanceIp
- depends_on: [ vIPR_ATM_Left_RVMI ]
- properties:
- name:
- str_replace:
- template: VM_NAME_INSTANCE_IP_LEFT
- params:
- VM_NAME: { get_param: vipr_atm_name_0 }
- virtual_machine_interface_refs: [{ get_resource: vIPR_ATM_Left_RVMI }]
- virtual_network_refs: [{ get_param: vipr_atm_service_left_fqdn }]
-
- # Right Instance IP
- vIPR_ATM_Right_RII:
- type: OS::ContrailV2::InstanceIp
- depends_on: [ vIPR_ATM_Right_RVMI ]
- properties:
- name:
- str_replace:
- template: VM_NAME_INSTANCE_IP_RIGHT
- params:
- VM_NAME: { get_param: vipr_atm_name_0 }
- virtual_machine_interface_refs: [{ get_resource: vIPR_ATM_Right_RVMI }]
- virtual_network_refs: [{ get_param: vipr_atm_service_right_fqdn }]
-
- # OAM Network Port (not part of Port Tuple)
- vIPR_ATM_OAM_0_Port:
- type: OS::Neutron::Port
- properties:
- name:
- str_replace:
- template: VM_NAME_PORT_0
- params:
- VM_NAME: { get_param: vipr_atm_name_0 }
- network: { get_param: oam_net_id }
- security_groups: { get_param: vipr_atm_oam_net_security_groups }
-
- # HA One Port (not part of Port Tuple)
- vIPR_ATM_Ha_One_0_Port:
- type: OS::Neutron::Port
- properties:
- name:
- str_replace:
- template: VM_NAME_PORT_1
- params:
- VM_NAME: { get_param: vipr_atm_name_0 }
- network: { get_param: vipr_atm_ha_one_id }
- fixed_ips: [ { "ip_address": { get_param: vipr_atm_ha_one_ip_0 } } ]
- security_groups: { get_param: vipr_atm_ha_one_security_groups }
-
- # HA Two Port (not part of Port Tuple)
- vIPR_ATM_Ha_Two_0_Port:
- type: OS::Neutron::Port
- properties:
- name:
- str_replace:
- template: VM_NAME_PORT_2
- params:
- VM_NAME: { get_param: vipr_atm_name_0 }
- network: { get_param: vipr_atm_ha_two_id }
- fixed_ips: [ { "ip_address": { get_param: vipr_atm_ha_two_ip_0 } } ]
- security_groups: { get_param: vipr_atm_ha_two_security_groups }
-
- # Valet Exclusivity Group
- vIPR_ATM_Valet_EG_0:
- type: ATT::Valet::GroupAssignment
- properties:
- group_name: { get_param: vipr_atm_valet_eg_0 }
- group_type: exclusivity
- level: host
- resources:
- - { get_resource: vIPR_ATM_Firewall }
-
- # Create the VM and bind the five ports to it
- # vIPR_ATM_Firewall_Cloud_Config:
- # type: OS::Heat::CloudConfig
- # properties:
- # cloud_config:
- # password: ubuntu
- # chpasswd: { expire: False }
- # ssh_pwauth: True
- # manage_etc_hosts: true
-
- vIPR_ATM_Firewall:
- type: OS::Nova::Server
- depends_on:
- - vIPR_ATM_Left_RII
- - vIPR_ATM_Right_RII
- - vIPR_ATM_OAM_0_Port
- - vIPR_ATM_Ha_One_0_Port
- - vIPR_ATM_Ha_Two_0_Port
- properties:
- name: { get_param: vipr_atm_name_0 }
- image: { get_param: vipr_atm_image_name }
- flavor: { get_param: vipr_atm_flavor_name }
- availability_zone: { get_param: availability_zone_0 }
- scheduler_hints:
- group: { get_param: vipr_atm_server_group }
- networks:
- - port: { get_resource: vIPR_ATM_OAM_0_Port }
- - port: { get_resource: vIPR_ATM_Ha_One_0_Port }
- - port: { get_resource: vIPR_ATM_Ha_Two_0_Port }
- - port: { get_resource: vIPR_ATM_Left_RVMI }
- - port: { get_resource: vIPR_ATM_Right_RVMI }
- metadata:
- vnf_id: { get_param: vnf_id }
- vnf_name: { get_param: vnf_name }
- vf_module_id: { get_param: vf_module_id }
-# user_data_format: RAW
-# user_data: { get_resource: vIPR_ATM_Firewall_Cloud_Config }
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/invalidGroupAssignment/expectedoutputfiles/MainServiceTemplate.yaml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/invalidGroupAssignment/expectedoutputfiles/MainServiceTemplate.yaml
deleted file mode 100644
index 5609b76193..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/invalidGroupAssignment/expectedoutputfiles/MainServiceTemplate.yaml
+++ /dev/null
@@ -1,292 +0,0 @@
-tosca_definitions_version: tosca_simple_yaml_1_0_0
-metadata:
- template_name: Main
-imports:
-- openecomp_heat_index:
- file: openecomp-heat/_index.yml
-node_types:
- org.openecomp.resource.vfc.nodes.heat.myNovaServer2:
- derived_from: org.openecomp.resource.vfc.nodes.heat.nova.Server
- org.openecomp.resource.vfc.nodes.heat.myNovaServer1:
- derived_from: org.openecomp.resource.vfc.nodes.heat.nova.Server
- org.openecomp.resource.vfc.nodes.heat.myNovaServer3:
- derived_from: org.openecomp.resource.vfc.nodes.heat.nova.Server
-topology_template:
- inputs:
- flavor:
- hidden: false
- immutable: false
- type: string
- p1:
- hidden: false
- immutable: false
- type: string
- description: p1
- image:
- hidden: false
- immutable: false
- type: string
- p2:
- hidden: false
- immutable: false
- type: string
- description: p2
- names:
- hidden: false
- immutable: false
- type: string
- myIPs:
- hidden: false
- immutable: false
- type: string
- description: ip1
- availability_zone_1:
- hidden: false
- immutable: false
- type: string
- description: myAvailability_zone_1
- net:
- hidden: false
- immutable: false
- type: string
- description: UID of network
- node_templates:
- myPort6:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p2
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer3
- relationship: tosca.relationships.network.BindsTo
- myPort5:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p1
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer3
- relationship: tosca.relationships.network.BindsTo
- myNovaServer1:
- type: org.openecomp.resource.vfc.nodes.heat.myNovaServer1
- properties:
- flavor:
- get_input: flavor
- availability_zone:
- get_input: availability_zone_1
- image:
- get_input: image
- name:
- get_input:
- - names
- - 0
- myNovaServer2:
- type: org.openecomp.resource.vfc.nodes.heat.myNovaServer2
- properties:
- flavor:
- get_input: flavor
- availability_zone:
- get_input: availability_zone_1
- image:
- get_input: image
- name:
- get_input:
- - names
- - 0
- myPort2:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p2
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer1
- relationship: tosca.relationships.network.BindsTo
- myNovaServer3:
- type: org.openecomp.resource.vfc.nodes.heat.myNovaServer3
- properties:
- flavor:
- get_input: flavor
- availability_zone:
- get_input: availability_zone_1
- image:
- get_input: image
- name:
- get_input:
- - names
- - 0
- myPort1:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p1
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer1
- relationship: tosca.relationships.network.BindsTo
- myPort4:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p2
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer2
- relationship: tosca.relationships.network.BindsTo
- myPort3:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p1
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer2
- relationship: tosca.relationships.network.BindsTo
- groups:
- main_group:
- type: org.openecomp.groups.heat.HeatStack
- properties:
- heat_file: ../Artifacts/main.yml
- description: cmaui server template for vMMSC
- members:
- - myPort6
- - myPort5
- - myNovaServer1
- - myNovaServer2
- - myPort2
- - myNovaServer3
- - myPort1
- - myPort4
- - myPort3
- SVCgroup1_simpleGroup1_group:
- type: tosca.groups.Root
- members:
- - myNovaServer1
- - myNovaServer2
- SVCgroup3_complexTwoGroups_group:
- type: tosca.groups.Root
- members:
- - SVCgroup1_simpleGroup1_group
- policies:
- SVCgroup1_policy:
- type: org.openecomp.policies.placement.valet.Affinity
- properties:
- level: host
- targets:
- - SVCgroup1_simpleGroup1_group
- SVCgroup3_policy:
- type: org.openecomp.policies.placement.valet.Affinity
- properties:
- level: host
- targets:
- - SVCgroup3_complexTwoGroups_group \ No newline at end of file
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/invalidGroupAssignment/inputfiles/MANIFEST.json b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/invalidGroupAssignment/inputfiles/MANIFEST.json
deleted file mode 100644
index 539e26b7ab..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/invalidGroupAssignment/inputfiles/MANIFEST.json
+++ /dev/null
@@ -1,12 +0,0 @@
-{
- "name": "vMME_Small",
- "description": "HOT template to create 2 cinder volume attachment",
- "version": "2013-05-23",
- "data": [
- {
- "file": "main.yml",
- "type": "HEAT",
- "isBase": "true"
- }
- ]
-}
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/invalidGroupAssignment/inputfiles/main.yml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/invalidGroupAssignment/inputfiles/main.yml
deleted file mode 100644
index 7dc385e7c8..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/invalidGroupAssignment/inputfiles/main.yml
+++ /dev/null
@@ -1,132 +0,0 @@
-heat_template_version: 2013-05-23
-
-description: cmaui server template for vMMSC
-
-parameters:
- net:
- type: string
- description: UID of network
- p1:
- type: string
- description: p1
- p2:
- type: string
- description: p2
- myIPs:
- type: string
- description: ip1
- availability_zone_1:
- type: string
- description: myAvailability_zone_1
- names:
- type: string
- image:
- type: string
- flavor:
- type: string
-resources:
-
- myNovaServer1:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort1 }
- - port: { get_resource: myPort2 }
-
-
- myNovaServer2:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort3 }
- - port: { get_resource: myPort4 }
-
- myNovaServer3:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort5 }
- - port: { get_resource: myPort6 }
- myPort1:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort2:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
-
- myPort3:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort4:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
- myPort5:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort6:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
- SVCgroup1:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: affinity
- group_name: simpleGroup1
- level: host
- resources:
- - { get_resource: myNovaServer1}
- - { get_resource: myNovaServer2}
- SVCgroup2:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: invalid
- level: host
- resources:
- - { get_resource: myNovaServer3}
- SVCgroup3:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: affinity
- group_name: complexTwoGroups
- level: host
- resources:
- - { get_resource: SVCgroup1}
- - { get_resource: SVCgroup2} \ No newline at end of file
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/notconnected/expectedoutputfiles/MainServiceTemplate.yaml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/notconnected/expectedoutputfiles/MainServiceTemplate.yaml
deleted file mode 100644
index d0f744c3a5..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/notconnected/expectedoutputfiles/MainServiceTemplate.yaml
+++ /dev/null
@@ -1,209 +0,0 @@
-tosca_definitions_version: tosca_simple_yaml_1_0_0
-metadata:
- template_name: Main
-imports:
-- openecomp_heat_index:
- file: openecomp-heat/_index.yml
-node_types:
- org.openecomp.resource.vfc.nodes.heat.myNovaServer2:
- derived_from: org.openecomp.resource.vfc.nodes.heat.nova.Server
- org.openecomp.resource.vfc.nodes.heat.myNovaServer1:
- derived_from: org.openecomp.resource.vfc.nodes.heat.nova.Server
-topology_template:
- inputs:
- flavor:
- hidden: false
- immutable: false
- type: string
- p1:
- hidden: false
- immutable: false
- type: string
- description: p1
- image:
- hidden: false
- immutable: false
- type: string
- p2:
- hidden: false
- immutable: false
- type: string
- description: p2
- names:
- hidden: false
- immutable: false
- type: string
- myIPs:
- hidden: false
- immutable: false
- type: string
- description: ip1
- availability_zone_1:
- hidden: false
- immutable: false
- type: string
- description: myAvailability_zone_1
- net:
- hidden: false
- immutable: false
- type: string
- description: UID of network
- node_templates:
- myNovaServer1:
- type: org.openecomp.resource.vfc.nodes.heat.myNovaServer1
- properties:
- flavor:
- get_input: flavor
- availability_zone:
- get_input: availability_zone_1
- image:
- get_input: image
- name:
- get_input:
- - names
- - 0
- myNovaServer2:
- type: org.openecomp.resource.vfc.nodes.heat.myNovaServer2
- properties:
- flavor:
- get_input: flavor
- availability_zone:
- get_input: availability_zone_1
- image:
- get_input: image
- name:
- get_input:
- - names
- - 0
- myPort2:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p2
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer1
- relationship: tosca.relationships.network.BindsTo
- myPort1:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p1
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer1
- relationship: tosca.relationships.network.BindsTo
- myPort4:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p2
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer2
- relationship: tosca.relationships.network.BindsTo
- myPort3:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p1
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer2
- relationship: tosca.relationships.network.BindsTo
- groups:
- main_group:
- type: org.openecomp.groups.heat.HeatStack
- properties:
- heat_file: ../Artifacts/main.yml
- description: cmaui server template for vMMSC
- members:
- - myNovaServer1
- - myNovaServer2
- - myPort2
- - myPort1
- - myPort4
- - myPort3
- SVCgroup1_simpleGroup_group:
- type: tosca.groups.Root
- members: [
- ]
- policies:
- SVCgroup1_policy:
- type: org.openecomp.policies.placement.valet.Diversity
- properties:
- level: host
- targets:
- - SVCgroup1_simpleGroup_group \ No newline at end of file
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/notconnected/inputfiles/MANIFEST.json b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/notconnected/inputfiles/MANIFEST.json
deleted file mode 100644
index 539e26b7ab..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/notconnected/inputfiles/MANIFEST.json
+++ /dev/null
@@ -1,12 +0,0 @@
-{
- "name": "vMME_Small",
- "description": "HOT template to create 2 cinder volume attachment",
- "version": "2013-05-23",
- "data": [
- {
- "file": "main.yml",
- "type": "HEAT",
- "isBase": "true"
- }
- ]
-}
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/notconnected/inputfiles/main.yml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/notconnected/inputfiles/main.yml
deleted file mode 100644
index ce061c122a..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/notconnected/inputfiles/main.yml
+++ /dev/null
@@ -1,88 +0,0 @@
-heat_template_version: 2013-05-23
-
-description: cmaui server template for vMMSC
-
-parameters:
- net:
- type: string
- description: UID of network
- p1:
- type: string
- description: p1
- p2:
- type: string
- description: p2
- myIPs:
- type: string
- description: ip1
- availability_zone_1:
- type: string
- description: myAvailability_zone_1
- names:
- type: string
- image:
- type: string
- flavor:
- type: string
-resources:
-
- myNovaServer1:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort1 }
- - port: { get_resource: myPort2 }
-
-
- myNovaServer2:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort3 }
- - port: { get_resource: myPort4 }
-
- myPort1:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort2:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
-
- myPort3:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort4:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
- SVCgroup1:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: diversity
- group_name: simpleGroup
- level: host
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleNovaAndNotSupportedResource/expectedoutputfiles/MainServiceTemplate.yaml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleNovaAndNotSupportedResource/expectedoutputfiles/MainServiceTemplate.yaml
deleted file mode 100644
index b16c6c0247..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleNovaAndNotSupportedResource/expectedoutputfiles/MainServiceTemplate.yaml
+++ /dev/null
@@ -1,209 +0,0 @@
-tosca_definitions_version: tosca_simple_yaml_1_0_0
-metadata:
- template_name: Main
-imports:
-- openecomp_heat_index:
- file: openecomp-heat/_index.yml
-node_types:
- org.openecomp.resource.vfc.nodes.heat.myNovaServer2:
- derived_from: org.openecomp.resource.vfc.nodes.heat.nova.Server
- org.openecomp.resource.vfc.nodes.heat.myNovaServer1:
- derived_from: org.openecomp.resource.vfc.nodes.heat.nova.Server
-topology_template:
- inputs:
- flavor:
- hidden: false
- immutable: false
- type: string
- p1:
- hidden: false
- immutable: false
- type: string
- description: p1
- image:
- hidden: false
- immutable: false
- type: string
- p2:
- hidden: false
- immutable: false
- type: string
- description: p2
- names:
- hidden: false
- immutable: false
- type: string
- myIPs:
- hidden: false
- immutable: false
- type: string
- description: ip1
- availability_zone_1:
- hidden: false
- immutable: false
- type: string
- description: myAvailability_zone_1
- net:
- hidden: false
- immutable: false
- type: string
- description: UID of network
- node_templates:
- myNovaServer1:
- type: org.openecomp.resource.vfc.nodes.heat.myNovaServer1
- properties:
- flavor:
- get_input: flavor
- availability_zone:
- get_input: availability_zone_1
- image:
- get_input: image
- name:
- get_input:
- - names
- - 0
- myNovaServer2:
- type: org.openecomp.resource.vfc.nodes.heat.myNovaServer2
- properties:
- flavor:
- get_input: flavor
- availability_zone:
- get_input: availability_zone_1
- image:
- get_input: image
- name:
- get_input:
- - names
- - 0
- myPort2:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p2
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer1
- relationship: tosca.relationships.network.BindsTo
- myPort1:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p1
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer1
- relationship: tosca.relationships.network.BindsTo
- myPort4:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p2
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer2
- relationship: tosca.relationships.network.BindsTo
- myPort3:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p1
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer2
- relationship: tosca.relationships.network.BindsTo
- groups:
- main_group:
- type: org.openecomp.groups.heat.HeatStack
- properties:
- heat_file: ../Artifacts/main.yml
- description: cmaui server template for vMMSC
- members:
- - myNovaServer1
- - myNovaServer2
- - myPort2
- - myPort1
- - myPort4
- - myPort3
- SVCgroup1_simpleGroup_group:
- type: tosca.groups.Root
- members:
- - myNovaServer1
- policies:
- SVCgroup1_policy:
- type: org.openecomp.policies.placement.valet.Exclusivity
- properties:
- level: host
- targets:
- - SVCgroup1_simpleGroup_group \ No newline at end of file
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleNovaAndNotSupportedResource/inputfiles/MANIFEST.json b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleNovaAndNotSupportedResource/inputfiles/MANIFEST.json
deleted file mode 100644
index 539e26b7ab..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleNovaAndNotSupportedResource/inputfiles/MANIFEST.json
+++ /dev/null
@@ -1,12 +0,0 @@
-{
- "name": "vMME_Small",
- "description": "HOT template to create 2 cinder volume attachment",
- "version": "2013-05-23",
- "data": [
- {
- "file": "main.yml",
- "type": "HEAT",
- "isBase": "true"
- }
- ]
-}
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleNovaAndNotSupportedResource/inputfiles/main.yml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleNovaAndNotSupportedResource/inputfiles/main.yml
deleted file mode 100644
index caa95edfa9..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleNovaAndNotSupportedResource/inputfiles/main.yml
+++ /dev/null
@@ -1,96 +0,0 @@
-heat_template_version: 2013-05-23
-
-description: cmaui server template for vMMSC
-
-parameters:
- net:
- type: string
- description: UID of network
- p1:
- type: string
- description: p1
- p2:
- type: string
- description: p2
- myIPs:
- type: string
- description: ip1
- availability_zone_1:
- type: string
- description: myAvailability_zone_1
- names:
- type: string
- image:
- type: string
- flavor:
- type: string
-resources:
-
- myNovaServer1:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort1 }
- - port: { get_resource: myPort2 }
-
-
- myNovaServer2:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort3 }
- - port: { get_resource: myPort4 }
-
- myPort1:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort2:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
-
- myPort3:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort4:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
- myDummyResource:
- type: myDummyResource::type
- properties:
- prop: propertyValue
-
- SVCgroup1:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: exclusivity
- group_name: simpleGroup
- level: host
- resources:
- - { get_resource: myNovaServer1}
- - { get_resource: myDummyResource}
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNotSupportedResources/expectedoutputfiles/MainServiceTemplate.yaml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNotSupportedResources/expectedoutputfiles/MainServiceTemplate.yaml
deleted file mode 100644
index a43a1d1f5d..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNotSupportedResources/expectedoutputfiles/MainServiceTemplate.yaml
+++ /dev/null
@@ -1,209 +0,0 @@
-tosca_definitions_version: tosca_simple_yaml_1_0_0
-metadata:
- template_name: Main
-imports:
-- openecomp_heat_index:
- file: openecomp-heat/_index.yml
-node_types:
- org.openecomp.resource.vfc.nodes.heat.myNovaServer2:
- derived_from: org.openecomp.resource.vfc.nodes.heat.nova.Server
- org.openecomp.resource.vfc.nodes.heat.myNovaServer1:
- derived_from: org.openecomp.resource.vfc.nodes.heat.nova.Server
-topology_template:
- inputs:
- flavor:
- hidden: false
- immutable: false
- type: string
- p1:
- hidden: false
- immutable: false
- type: string
- description: p1
- image:
- hidden: false
- immutable: false
- type: string
- p2:
- hidden: false
- immutable: false
- type: string
- description: p2
- names:
- hidden: false
- immutable: false
- type: string
- myIPs:
- hidden: false
- immutable: false
- type: string
- description: ip1
- availability_zone_1:
- hidden: false
- immutable: false
- type: string
- description: myAvailability_zone_1
- net:
- hidden: false
- immutable: false
- type: string
- description: UID of network
- node_templates:
- myNovaServer1:
- type: org.openecomp.resource.vfc.nodes.heat.myNovaServer1
- properties:
- flavor:
- get_input: flavor
- availability_zone:
- get_input: availability_zone_1
- image:
- get_input: image
- name:
- get_input:
- - names
- - 0
- myNovaServer2:
- type: org.openecomp.resource.vfc.nodes.heat.myNovaServer2
- properties:
- flavor:
- get_input: flavor
- availability_zone:
- get_input: availability_zone_1
- image:
- get_input: image
- name:
- get_input:
- - names
- - 0
- myPort2:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p2
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer1
- relationship: tosca.relationships.network.BindsTo
- myPort1:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p1
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer1
- relationship: tosca.relationships.network.BindsTo
- myPort4:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p2
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer2
- relationship: tosca.relationships.network.BindsTo
- myPort3:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p1
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer2
- relationship: tosca.relationships.network.BindsTo
- groups:
- main_group:
- type: org.openecomp.groups.heat.HeatStack
- properties:
- heat_file: ../Artifacts/main.yml
- description: cmaui server template for vMMSC
- members:
- - myNovaServer1
- - myNovaServer2
- - myPort2
- - myPort1
- - myPort4
- - myPort3
- SVCgroup1_emptyGroup_group:
- type: tosca.groups.Root
- members: [
- ]
- policies:
- SVCgroup1_policy:
- type: org.openecomp.policies.placement.valet.Affinity
- properties:
- level: host
- targets:
- - SVCgroup1_emptyGroup_group \ No newline at end of file
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNotSupportedResources/inputfiles/MANIFEST.json b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNotSupportedResources/inputfiles/MANIFEST.json
deleted file mode 100644
index 539e26b7ab..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNotSupportedResources/inputfiles/MANIFEST.json
+++ /dev/null
@@ -1,12 +0,0 @@
-{
- "name": "vMME_Small",
- "description": "HOT template to create 2 cinder volume attachment",
- "version": "2013-05-23",
- "data": [
- {
- "file": "main.yml",
- "type": "HEAT",
- "isBase": "true"
- }
- ]
-}
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNotSupportedResources/inputfiles/main.yml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNotSupportedResources/inputfiles/main.yml
deleted file mode 100644
index e5842434e1..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNotSupportedResources/inputfiles/main.yml
+++ /dev/null
@@ -1,103 +0,0 @@
-heat_template_version: 2013-05-23
-
-description: cmaui server template for vMMSC
-
-parameters:
- net:
- type: string
- description: UID of network
- p1:
- type: string
- description: p1
- p2:
- type: string
- description: p2
- myIPs:
- type: string
- description: ip1
- availability_zone_1:
- type: string
- description: myAvailability_zone_1
- names:
- type: string
- image:
- type: string
- flavor:
- type: string
-resources:
-
- myNovaServer1:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort1 }
- - port: { get_resource: myPort2 }
-
-
- myNovaServer2:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort3 }
- - port: { get_resource: myPort4 }
-
- myPort1:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort2:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
-
- myPort3:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort4:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
-
- myDummyResource1:
- type: myDummyResource1
- properties:
- prop1: aaaa
-
- myDummyResource2:
- type: myDummyResource2
- properties:
- prop1: bbb
-
-
- SVCgroup1:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: affinity
- group_name: emptyGroup
- level: host
- resources:
- - { get_resource: myDummyResource1}
- - { get_resource: myDummyResource2}
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNova/expectedoutputfiles/MainServiceTemplate.yaml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNova/expectedoutputfiles/MainServiceTemplate.yaml
deleted file mode 100644
index 3ec1bd245e..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNova/expectedoutputfiles/MainServiceTemplate.yaml
+++ /dev/null
@@ -1,210 +0,0 @@
-tosca_definitions_version: tosca_simple_yaml_1_0_0
-metadata:
- template_name: Main
-imports:
-- openecomp_heat_index:
- file: openecomp-heat/_index.yml
-node_types:
- org.openecomp.resource.vfc.nodes.heat.myNovaServer2:
- derived_from: org.openecomp.resource.vfc.nodes.heat.nova.Server
- org.openecomp.resource.vfc.nodes.heat.myNovaServer1:
- derived_from: org.openecomp.resource.vfc.nodes.heat.nova.Server
-topology_template:
- inputs:
- flavor:
- hidden: false
- immutable: false
- type: string
- p1:
- hidden: false
- immutable: false
- type: string
- description: p1
- image:
- hidden: false
- immutable: false
- type: string
- p2:
- hidden: false
- immutable: false
- type: string
- description: p2
- names:
- hidden: false
- immutable: false
- type: string
- myIPs:
- hidden: false
- immutable: false
- type: string
- description: ip1
- availability_zone_1:
- hidden: false
- immutable: false
- type: string
- description: myAvailability_zone_1
- net:
- hidden: false
- immutable: false
- type: string
- description: UID of network
- node_templates:
- myNovaServer1:
- type: org.openecomp.resource.vfc.nodes.heat.myNovaServer1
- properties:
- flavor:
- get_input: flavor
- availability_zone:
- get_input: availability_zone_1
- image:
- get_input: image
- name:
- get_input:
- - names
- - 0
- myNovaServer2:
- type: org.openecomp.resource.vfc.nodes.heat.myNovaServer2
- properties:
- flavor:
- get_input: flavor
- availability_zone:
- get_input: availability_zone_1
- image:
- get_input: image
- name:
- get_input:
- - names
- - 0
- myPort2:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p2
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer1
- relationship: tosca.relationships.network.BindsTo
- myPort1:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p1
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer1
- relationship: tosca.relationships.network.BindsTo
- myPort4:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p2
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer2
- relationship: tosca.relationships.network.BindsTo
- myPort3:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p1
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer2
- relationship: tosca.relationships.network.BindsTo
- groups:
- main_group:
- type: org.openecomp.groups.heat.HeatStack
- properties:
- heat_file: ../Artifacts/main.yml
- description: cmaui server template for vMMSC
- members:
- - myNovaServer1
- - myNovaServer2
- - myPort2
- - myPort1
- - myPort4
- - myPort3
- SVCgroup1_simpleGroup_group:
- type: tosca.groups.Root
- members:
- - myNovaServer1
- - myNovaServer2
- policies:
- SVCgroup1_policy:
- type: org.openecomp.policies.placement.valet.Affinity
- properties:
- level: host
- targets:
- - SVCgroup1_simpleGroup_group \ No newline at end of file
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNova/inputfiles/MANIFEST.json b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNova/inputfiles/MANIFEST.json
deleted file mode 100644
index 539e26b7ab..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNova/inputfiles/MANIFEST.json
+++ /dev/null
@@ -1,12 +0,0 @@
-{
- "name": "vMME_Small",
- "description": "HOT template to create 2 cinder volume attachment",
- "version": "2013-05-23",
- "data": [
- {
- "file": "main.yml",
- "type": "HEAT",
- "isBase": "true"
- }
- ]
-}
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNova/inputfiles/main.yml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNova/inputfiles/main.yml
deleted file mode 100644
index 586a7343e4..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlyNova/inputfiles/main.yml
+++ /dev/null
@@ -1,91 +0,0 @@
-heat_template_version: 2013-05-23
-
-description: cmaui server template for vMMSC
-
-parameters:
- net:
- type: string
- description: UID of network
- p1:
- type: string
- description: p1
- p2:
- type: string
- description: p2
- myIPs:
- type: string
- description: ip1
- availability_zone_1:
- type: string
- description: myAvailability_zone_1
- names:
- type: string
- image:
- type: string
- flavor:
- type: string
-resources:
-
- myNovaServer1:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort1 }
- - port: { get_resource: myPort2 }
-
-
- myNovaServer2:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort3 }
- - port: { get_resource: myPort4 }
-
- myPort1:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort2:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
-
- myPort3:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort4:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
- SVCgroup1:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: affinity
- group_name: simpleGroup
- level: host
- resources:
- - { get_resource: myNovaServer1}
- - { get_resource: myNovaServer2}
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlySingleNova/expectedoutputfiles/MainServiceTemplate.yaml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlySingleNova/expectedoutputfiles/MainServiceTemplate.yaml
deleted file mode 100644
index 56b552b348..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlySingleNova/expectedoutputfiles/MainServiceTemplate.yaml
+++ /dev/null
@@ -1,209 +0,0 @@
-tosca_definitions_version: tosca_simple_yaml_1_0_0
-metadata:
- template_name: Main
-imports:
-- openecomp_heat_index:
- file: openecomp-heat/_index.yml
-node_types:
- org.openecomp.resource.vfc.nodes.heat.myNovaServer2:
- derived_from: org.openecomp.resource.vfc.nodes.heat.nova.Server
- org.openecomp.resource.vfc.nodes.heat.myNovaServer1:
- derived_from: org.openecomp.resource.vfc.nodes.heat.nova.Server
-topology_template:
- inputs:
- flavor:
- hidden: false
- immutable: false
- type: string
- p1:
- hidden: false
- immutable: false
- type: string
- description: p1
- image:
- hidden: false
- immutable: false
- type: string
- p2:
- hidden: false
- immutable: false
- type: string
- description: p2
- names:
- hidden: false
- immutable: false
- type: string
- myIPs:
- hidden: false
- immutable: false
- type: string
- description: ip1
- availability_zone_1:
- hidden: false
- immutable: false
- type: string
- description: myAvailability_zone_1
- net:
- hidden: false
- immutable: false
- type: string
- description: UID of network
- node_templates:
- myNovaServer1:
- type: org.openecomp.resource.vfc.nodes.heat.myNovaServer1
- properties:
- flavor:
- get_input: flavor
- availability_zone:
- get_input: availability_zone_1
- image:
- get_input: image
- name:
- get_input:
- - names
- - 0
- myNovaServer2:
- type: org.openecomp.resource.vfc.nodes.heat.myNovaServer2
- properties:
- flavor:
- get_input: flavor
- availability_zone:
- get_input: availability_zone_1
- image:
- get_input: image
- name:
- get_input:
- - names
- - 0
- myPort2:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p2
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer1
- relationship: tosca.relationships.network.BindsTo
- myPort1:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p1
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer1
- relationship: tosca.relationships.network.BindsTo
- myPort4:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p2
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer2
- relationship: tosca.relationships.network.BindsTo
- myPort3:
- type: org.openecomp.resource.cp.nodes.heat.network.neutron.Port
- properties:
- replacement_policy: AUTO
- ip_requirements:
- - ip_version: 4
- ip_count_required:
- is_required: true
- floating_ip_count_required:
- is_required: false
- security_groups:
- - get_input: p1
- fixed_ips:
- - ip_address:
- get_input:
- - myIPs
- - 0
- mac_requirements:
- mac_count_required:
- is_required: false
- network:
- get_input: net
- requirements:
- - binding:
- capability: tosca.capabilities.network.Bindable
- node: myNovaServer2
- relationship: tosca.relationships.network.BindsTo
- groups:
- main_group:
- type: org.openecomp.groups.heat.HeatStack
- properties:
- heat_file: ../Artifacts/main.yml
- description: cmaui server template for vMMSC
- members:
- - myNovaServer1
- - myNovaServer2
- - myPort2
- - myPort1
- - myPort4
- - myPort3
- SVCgroup1_simpleGroup_group:
- type: tosca.groups.Root
- members:
- - myNovaServer1
- policies:
- SVCgroup1_policy:
- type: org.openecomp.policies.placement.valet.Diversity
- properties:
- level: host
- targets:
- - SVCgroup1_simpleGroup_group \ No newline at end of file
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlySingleNova/inputfiles/MANIFEST.json b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlySingleNova/inputfiles/MANIFEST.json
deleted file mode 100644
index 539e26b7ab..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlySingleNova/inputfiles/MANIFEST.json
+++ /dev/null
@@ -1,12 +0,0 @@
-{
- "name": "vMME_Small",
- "description": "HOT template to create 2 cinder volume attachment",
- "version": "2013-05-23",
- "data": [
- {
- "file": "main.yml",
- "type": "HEAT",
- "isBase": "true"
- }
- ]
-}
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlySingleNova/inputfiles/main.yml b/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlySingleNova/inputfiles/main.yml
deleted file mode 100644
index f7079ce7ce..0000000000
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/att-sdc-translator-impl/src/test/resources/mock/services/heattotosca/attValetGroupAssignment/simpleOnlySingleNova/inputfiles/main.yml
+++ /dev/null
@@ -1,90 +0,0 @@
-heat_template_version: 2013-05-23
-
-description: cmaui server template for vMMSC
-
-parameters:
- net:
- type: string
- description: UID of network
- p1:
- type: string
- description: p1
- p2:
- type: string
- description: p2
- myIPs:
- type: string
- description: ip1
- availability_zone_1:
- type: string
- description: myAvailability_zone_1
- names:
- type: string
- image:
- type: string
- flavor:
- type: string
-resources:
-
- myNovaServer1:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort1 }
- - port: { get_resource: myPort2 }
-
-
- myNovaServer2:
- type: OS::Nova::Server
- properties:
- name: { get_param: [names, 0]}
- image: { get_param: image }
- availability_zone: { get_param: availability_zone_1 }
- flavor: { get_param: flavor }
- networks:
- - port: { get_resource: myPort3 }
- - port: { get_resource: myPort4 }
-
- myPort1:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort2:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
-
- myPort3:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p1}]
- replacement_policy: AUTO
-
- myPort4:
- type: OS::Neutron::Port
- properties:
- network: { get_param: net }
- fixed_ips: [{"ip_address": {get_param: [myIPs, 0]}}]
- security_groups: [{get_param: p2}]
- replacement_policy: AUTO
- SVCgroup1:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: diversity
- group_name: simpleGroup
- level: host
- resources:
- - { get_resource: myNovaServer1}
diff --git a/openecomp-be/lib/openecomp-sdc-translator-lib/pom.xml b/openecomp-be/lib/openecomp-sdc-translator-lib/pom.xml
index b5ffede881..bf7f5aa957 100644
--- a/openecomp-be/lib/openecomp-sdc-translator-lib/pom.xml
+++ b/openecomp-be/lib/openecomp-sdc-translator-lib/pom.xml
@@ -19,7 +19,6 @@
<module>openecomp-sdc-translator-sdk</module>
<module>openecomp-sdc-translator-core</module>
<module>openecomp-sdc-translator-impl</module>
- <module>att-sdc-translator-impl</module>
</modules>
<dependencies>
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/pom.xml b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/pom.xml
deleted file mode 100644
index 8208d8bfca..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/pom.xml
+++ /dev/null
@@ -1,92 +0,0 @@
-<project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
- xmlns="http://maven.apache.org/POM/4.0.0"
- xsi:schemaLocation="http://maven.apache.org/POM/4.0.0 http://maven.apache.org/xsd/maven-4.0.0.xsd">
- <modelVersion>4.0.0</modelVersion>
-
- <name>att-sdc-validation-impl</name>
- <artifactId>att-sdc-validation-impl</artifactId>
-
-
- <parent>
- <groupId>org.openecomp.sdc</groupId>
- <artifactId>openecomp-sdc-lib</artifactId>
- <version>1.2.0-SNAPSHOT</version>
- <relativePath>../..</relativePath>
- </parent>
-
- <dependencies>
- <dependency>
- <groupId>org.openecomp.sdc.core</groupId>
- <artifactId>openecomp-facade-core</artifactId>
- <version>${project.version}</version>
- </dependency>
- <dependency>
- <groupId>org.openecomp.sdc</groupId>
- <artifactId>openecomp-sdc-datatypes-lib</artifactId>
- <version>${project.version}</version>
- </dependency>
- <dependency>
- <groupId>ch.qos.logback</groupId>
- <artifactId>logback-classic</artifactId>
- <version>${logback.version}</version>
- </dependency>
- <dependency>
- <groupId>org.openecomp.sdc</groupId>
- <artifactId>openecomp-sdc-logging-core</artifactId>
- <version>${project.version}</version>
- </dependency>
- <dependency>
- <groupId>org.openecomp.sdc</groupId>
- <artifactId>openecomp-sdc-logging-api</artifactId>
- <version>${project.version}</version>
- </dependency>
- <dependency>
- <groupId>org.openecomp.sdc</groupId>
- <artifactId>openecomp-sdc-validation-api</artifactId>
- <version>${project.version}</version>
- </dependency>
- <dependency>
- <groupId>org.openecomp.sdc</groupId>
- <artifactId>openecomp-sdc-validation-core</artifactId>
- <version>${project.version}</version>
- <scope>test</scope>
- </dependency>
- <dependency>
- <groupId>org.openecomp.sdc</groupId>
- <artifactId>openecomp-sdc-validation-impl</artifactId>
- <version>${project.version}</version>
- <scope>test</scope>
- </dependency>
- <dependency>
- <groupId>org.openecomp.sdc.core</groupId>
- <artifactId>openecomp-heat-lib</artifactId>
- <version>${project.version}</version>
- </dependency>
- <dependency>
- <groupId>org.testng</groupId>
- <artifactId>testng</artifactId>
- <version>${testng.version}</version>
- <scope>test</scope>
- </dependency>
- <dependency>
- <groupId>org.openecomp.sdc</groupId>
- <artifactId>openecomp-sdc-validation-sdk</artifactId>
- <version>${project.version}</version>
- </dependency>
- </dependencies>
-
- <build>
- <plugins>
- <plugin>
- <groupId>org.apache.maven.plugins</groupId>
- <artifactId>maven-surefire-plugin</artifactId>
- <version>${mvn.surefire.version}</version>
- <configuration>
- <useSystemClassLoader>false</useSystemClassLoader>
- <redirectTestOutputToFile>true</redirectTestOutputToFile>
- </configuration>
- </plugin>
- </plugins>
- </build>
-
-</project>
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/datatypes/AttHeatResourceTypes.java b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/datatypes/AttHeatResourceTypes.java
deleted file mode 100644
index 427fc9c84a..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/datatypes/AttHeatResourceTypes.java
+++ /dev/null
@@ -1,53 +0,0 @@
-/*-
- * ============LICENSE_START=======================================================
- * SDC
- * ================================================================================
- * Copyright (C) 2017 AT&T Intellectual Property. All rights reserved.
- * ================================================================================
- * Licensed under the Apache License, Version 2.0 (the "License");
- * you may not use this file except in compliance with the License.
- * You may obtain a copy of the License at
- *
- * http://www.apache.org/licenses/LICENSE-2.0
- *
- * Unless required by applicable law or agreed to in writing, software
- * distributed under the License is distributed on an "AS IS" BASIS,
- * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
- * See the License for the specific language governing permissions and
- * limitations under the License.
- * ============LICENSE_END=========================================================
- */
-
-package com.att.sdc.validation.datatypes;
-
-import java.util.HashMap;
-import java.util.Map;
-
-public enum AttHeatResourceTypes {
- ATT_VALET_GROUP_ASSIGNMENT("ATT::Valet::GroupAssignment");
-
- private static Map<String, AttHeatResourceTypes> stringToAttRsourceMap;
-
- static {
- stringToAttRsourceMap = new HashMap<>();
-
- for (AttHeatResourceTypes attHeatResourceType : AttHeatResourceTypes.values()) {
- stringToAttRsourceMap.put(attHeatResourceType.type, attHeatResourceType);
- }
- }
-
- private String type;
-
-
- AttHeatResourceTypes(String type) {
- this.type = type;
- }
-
- public String getType() {
- return type;
- }
-
- public static AttHeatResourceTypes findByResourceType(String type) {
- return stringToAttRsourceMap.get(type);
- }
-}
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/datatypes/AttLoggerErrorDescription.java b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/datatypes/AttLoggerErrorDescription.java
deleted file mode 100644
index c4f1d0a4b4..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/datatypes/AttLoggerErrorDescription.java
+++ /dev/null
@@ -1,9 +0,0 @@
-package com.att.sdc.validation.datatypes;
-
-/**
- * Created by TALIO on 5/21/2017.
- */
-public class AttLoggerErrorDescription {
-
- public static final String ATT_VALET_IN_USE = "ATT Valet group type is in use";
-}
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/datatypes/AttLoggerTargetServiceName.java b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/datatypes/AttLoggerTargetServiceName.java
deleted file mode 100644
index 111a9873fc..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/datatypes/AttLoggerTargetServiceName.java
+++ /dev/null
@@ -1,9 +0,0 @@
-package com.att.sdc.validation.datatypes;
-
-/**
- * Created by TALIO on 5/21/2017.
- */
-public class AttLoggerTargetServiceName {
-
- public static final String VALIDATE_ATT_VALET_TYPE = "Validate OPENECOMP Valet Group Resosurce Type";
-}
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/datatypes/AttValetGroupTypeValues.java b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/datatypes/AttValetGroupTypeValues.java
deleted file mode 100644
index 08647b18af..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/datatypes/AttValetGroupTypeValues.java
+++ /dev/null
@@ -1,53 +0,0 @@
-/*-
- * ============LICENSE_START=======================================================
- * SDC
- * ================================================================================
- * Copyright (C) 2017 AT&T Intellectual Property. All rights reserved.
- * ================================================================================
- * Licensed under the Apache License, Version 2.0 (the "License");
- * you may not use this file except in compliance with the License.
- * You may obtain a copy of the License at
- *
- * http://www.apache.org/licenses/LICENSE-2.0
- *
- * Unless required by applicable law or agreed to in writing, software
- * distributed under the License is distributed on an "AS IS" BASIS,
- * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
- * See the License for the specific language governing permissions and
- * limitations under the License.
- * ============LICENSE_END=========================================================
- */
-
-package com.att.sdc.validation.datatypes;
-
-import java.util.ArrayList;
-import java.util.List;
-import java.util.Map;
-
-public enum AttValetGroupTypeValues {
- EXCLUSIVITY("exclusivity"),
- AFFINITY("affinity"),
- DIVERSITY("diversity");
-
- private static List<String> groupTypeAsStrings;
-
- static {
- groupTypeAsStrings = new ArrayList<>();
- for (AttValetGroupTypeValues attGroupTypeValue : AttValetGroupTypeValues.values()) {
- groupTypeAsStrings.add(attGroupTypeValue.groupTypeValue);
- }
- }
-
- private String groupTypeValue;
-
- AttValetGroupTypeValues(String groupTypeValue) {
- this.groupTypeValue = groupTypeValue;
- }
-
- public static boolean isGroupTypeValid(Object groupType) {
- if (groupType instanceof Map) {
- return true;
- }
- return groupTypeAsStrings.contains(groupType);
- }
-}
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/impl/validators/AttValetGroupAssignmentResourceValidator.java b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/impl/validators/AttValetGroupAssignmentResourceValidator.java
deleted file mode 100644
index 30b65f4b3e..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/impl/validators/AttValetGroupAssignmentResourceValidator.java
+++ /dev/null
@@ -1,60 +0,0 @@
-package com.att.sdc.validation.impl.validators;
-
-import com.att.sdc.validation.datatypes.AttLoggerErrorDescription;
-import com.att.sdc.validation.datatypes.AttLoggerTargetServiceName;
-import com.att.sdc.validation.datatypes.AttValetGroupTypeValues;
-import com.att.sdc.validation.messages.Messages;
-import org.apache.commons.collections4.MapUtils;
-import org.openecomp.core.validation.errors.ErrorMessagesFormatBuilder;
-import org.openecomp.core.validation.types.GlobalValidationContext;
-import org.openecomp.sdc.datatypes.error.ErrorLevel;
-import org.openecomp.sdc.heat.datatypes.model.Resource;
-import org.openecomp.sdc.logging.context.impl.MdcDataDebugMessage;
-import org.openecomp.sdc.validation.ResourceValidator;
-import org.openecomp.sdc.validation.ValidationContext;
-
-import java.util.Map;
-import java.util.Objects;
-
-/**
- * Created by TALIO on 2/26/2017.
- */
-public class AttValetGroupAssignmentResourceValidator implements ResourceValidator {
- private static MdcDataDebugMessage mdcDataDebugMessage = new MdcDataDebugMessage();
-
- @Override
- public void validate(String fileName, Map.Entry<String, Resource> resourceEntry,
- GlobalValidationContext globalContext, ValidationContext validationContext) {
- mdcDataDebugMessage.debugEntryMessage("file", fileName);
-
- Resource resource = resourceEntry.getValue();
-
- Map<String, Object> propertiesMap = resource.getProperties();
- if (MapUtils.isEmpty(propertiesMap)) {
- return;
- }
-
- Object groupTypeValue = propertiesMap.get("group_type");
- if (Objects.isNull(groupTypeValue)) {
- return;
- }
-
- validateGroupTypeValue(fileName, resourceEntry.getKey(), groupTypeValue, globalContext);
- mdcDataDebugMessage.debugExitMessage("file", fileName);
- }
-
- private static void validateGroupTypeValue(String fileName, String resourceId,
- Object groupTypeValue,
- GlobalValidationContext globalContext) {
- mdcDataDebugMessage.debugEntryMessage("file", fileName);
-
- if (!AttValetGroupTypeValues.isGroupTypeValid(groupTypeValue)) {
- globalContext.addMessage(fileName, ErrorLevel.WARNING, ErrorMessagesFormatBuilder
- .getErrorWithParameters(Messages.UNEXPECTED_GROUP_TYPE_ATT_VALET.getErrorMessage(),
- resourceId), AttLoggerTargetServiceName.VALIDATE_ATT_VALET_TYPE,
- AttLoggerErrorDescription.ATT_VALET_IN_USE);
- }
-
- mdcDataDebugMessage.debugExitMessage("file", fileName);
- }
-}
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/messages/Messages.java b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/messages/Messages.java
deleted file mode 100644
index 0b3274edf0..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/java/com/att/sdc/validation/messages/Messages.java
+++ /dev/null
@@ -1,20 +0,0 @@
-package com.att.sdc.validation.messages;
-
-/**
- * Created by TALIO on 5/17/2017.
- */
-public enum Messages {
-
- UNEXPECTED_GROUP_TYPE_ATT_VALET(
- "Unexpected group_type for ATT::Valet::GroupAssignment, Resource ID [%s]");
-
- private String errorMessage;
-
- Messages(String errorMessage) {
- this.errorMessage = errorMessage;
- }
-
- public String getErrorMessage() {
- return errorMessage;
- }
-}
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/resources/config-merge-validaton.json b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/resources/config-merge-validaton.json
deleted file mode 100644
index 179f13c344..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/main/resources/config-merge-validaton.json
+++ /dev/null
@@ -1,18 +0,0 @@
-{
- "validatorImplementations": {
- "resourceHeatValidator": {
- "properties": {
- "resourceBaseValidator": {
- "ATT::Valet::GroupAssignment": {
- "enable": true,
- "implementationClass": "com.att.sdc.validation.impl.validators.AttValetGroupAssignmentResourceValidator"
- }
- }
- }
- }
- },
- "_config": {
- "namespace": "validation",
- "mergeStrategy": "merge"
- }
-} \ No newline at end of file
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/java/com/att/sdc/validation/impl/validators/AttValetGroupAssignmentResourceValidatorTest.java b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/java/com/att/sdc/validation/impl/validators/AttValetGroupAssignmentResourceValidatorTest.java
deleted file mode 100644
index acb54f4140..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/java/com/att/sdc/validation/impl/validators/AttValetGroupAssignmentResourceValidatorTest.java
+++ /dev/null
@@ -1,59 +0,0 @@
-package com.att.sdc.validation.impl.validators;
-
-import com.att.sdc.validation.datatypes.AttHeatResourceTypes;
-import org.openecomp.core.validation.api.ValidationManager;
-import org.openecomp.core.validation.factory.ValidationManagerFactory;
-import org.openecomp.core.validation.types.GlobalValidationContext;
-import org.openecomp.core.validation.types.MessageContainer;
-import org.openecomp.sdc.datatypes.error.ErrorLevel;
-import org.openecomp.sdc.datatypes.error.ErrorMessage;
-import org.openecomp.sdc.validation.impl.validators.HeatResourceValidator;
-import org.openecomp.sdc.validation.util.ValidationTestUtil;
-import org.testng.Assert;
-import org.testng.annotations.Test;
-
-import java.io.IOException;
-import java.util.List;
-import java.util.Map;
-
-
-public class AttValetGroupAssignmentResourceValidatorTest {
-
- HeatResourceValidator baseValidator = new HeatResourceValidator();
- AttValetGroupAssignmentResourceValidator resourceValidator = new
- AttValetGroupAssignmentResourceValidator();
-
- @Test
- public void testATTValetGroupType() {
- Map<String, MessageContainer> messages = ValidationTestUtil.testValidator(baseValidator,
- resourceValidator, AttHeatResourceTypes.ATT_VALET_GROUP_ASSIGNMENT.getType(),
- "/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive");
- Assert.assertNotNull(messages);
- Assert.assertEquals(messages.size(), 0);
-
- messages = ValidationTestUtil.testValidator(baseValidator,
- resourceValidator, AttHeatResourceTypes.ATT_VALET_GROUP_ASSIGNMENT.getType(),
- "/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative");
- Assert.assertNotNull(messages);
- Assert.assertEquals(messages.size(), 1);
- Assert.assertEquals(messages.get("first.yaml").getErrorMessageList().size(), 2);
- Assert.assertEquals(messages.get("first.yaml").getErrorMessageList().get(0).getMessage(),
- "WARNING: Unexpected group_type for ATT::Valet::GroupAssignment, Resource ID [valet_group_assignment_illegal_1]");
- Assert.assertEquals(messages.get("first.yaml").getErrorMessageList().get(1).getMessage(),
- "WARNING: Unexpected group_type for ATT::Valet::GroupAssignment, Resource ID [valet_group_assignment_illegal_2]");
- }
-
- @Test
- public void testValidationWithFullFlowFromValidationFactory() throws IOException {
- GlobalValidationContext globalContext = ValidationTestUtil.createGlobalContextFromPath(
- "/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative");
- ValidationManager validationManager = ValidationManagerFactory.getInstance().createInterface();
- validationManager.updateGlobalContext(globalContext);
- Map<String, List<ErrorMessage>> messages = validationManager.validate();
-
- Assert.assertNotNull(messages);
- ErrorMessage excpectedMessage = new ErrorMessage(ErrorLevel.WARNING,
- "WARNING: Unexpected group_type for ATT::Valet::GroupAssignment, Resource ID [valet_group_assignment_illegal_1]");
- Assert.assertTrue(messages.get("first.yaml").contains(excpectedMessage));
- }
-} \ No newline at end of file
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative/MANIFEST.json b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative/MANIFEST.json
deleted file mode 100644
index 76ce6de6e6..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative/MANIFEST.json
+++ /dev/null
@@ -1,27 +0,0 @@
-{
- "name": "validTest",
- "description": "Valid Test",
- "version": "1610",
- "data": [
- {
- "file": "first.yaml",
- "type": "HEAT",
- "isBase": true,
- "data": [
- {
- "file": "first.env",
- "type": "HEAT_ENV"
- },
- {
- "file": "firstVol.yaml",
- "type": "HEAT_VOL"
- }
- ]
- },
- {
- "file": "second.yaml",
- "type": "HEAT",
- "isBase": false
- }
- ]
-} \ No newline at end of file
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative/first.env b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative/first.env
deleted file mode 100644
index e69de29bb2..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative/first.env
+++ /dev/null
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative/first.yaml b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative/first.yaml
deleted file mode 100644
index 99e707e91d..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative/first.yaml
+++ /dev/null
@@ -1,22 +0,0 @@
-heat_template_version: 2013-05-23
-
-description: heat expose volume resource
-
-resources:
- valet_group_assignment_illegal_1:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: blabla
-
- valet_group_assignment_illegal_2:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: blabla
-
- valet_group_assignment_legal:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: diversity
-
-
-
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative/second.yaml b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative/second.yaml
deleted file mode 100644
index bb06b9d60a..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/negative/second.yaml
+++ /dev/null
@@ -1,14 +0,0 @@
-heat_template_version: 2013-05-23
-
-description: heat second
-
-resources:
- network_expose:
- type: OS::Neutron::Net
-
-outputs:
- expose_resource_network_output:
- description: the pcrf_server
- value: { get_resource: network_expose }
-
-
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive/MANIFEST.json b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive/MANIFEST.json
deleted file mode 100644
index 76ce6de6e6..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive/MANIFEST.json
+++ /dev/null
@@ -1,27 +0,0 @@
-{
- "name": "validTest",
- "description": "Valid Test",
- "version": "1610",
- "data": [
- {
- "file": "first.yaml",
- "type": "HEAT",
- "isBase": true,
- "data": [
- {
- "file": "first.env",
- "type": "HEAT_ENV"
- },
- {
- "file": "firstVol.yaml",
- "type": "HEAT_VOL"
- }
- ]
- },
- {
- "file": "second.yaml",
- "type": "HEAT",
- "isBase": false
- }
- ]
-} \ No newline at end of file
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive/first.env b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive/first.env
deleted file mode 100644
index e69de29bb2..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive/first.env
+++ /dev/null
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive/first.yaml b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive/first.yaml
deleted file mode 100644
index 14f8e7e550..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive/first.yaml
+++ /dev/null
@@ -1,17 +0,0 @@
-heat_template_version: 2013-05-23
-
-description: heat expose volume resource
-
-resources:
- valet_group_assigment_legal_1:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: affinity
-
- valet_group_assigment_legal_2:
- type: ATT::Valet::GroupAssignment
- properties:
- group_type: diversity
-
-
-
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive/second.yaml b/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive/second.yaml
deleted file mode 100644
index bb06b9d60a..0000000000
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/att-sdc-validation-impl/src/test/resources/com/att/sdc/validation/impl/validators/att_heat_validator/att_valet_group_type/positive/second.yaml
+++ /dev/null
@@ -1,14 +0,0 @@
-heat_template_version: 2013-05-23
-
-description: heat second
-
-resources:
- network_expose:
- type: OS::Neutron::Net
-
-outputs:
- expose_resource_network_output:
- description: the pcrf_server
- value: { get_resource: network_expose }
-
-
diff --git a/openecomp-be/lib/openecomp-sdc-validation-lib/pom.xml b/openecomp-be/lib/openecomp-sdc-validation-lib/pom.xml
index 572055b13a..717afb6c33 100644
--- a/openecomp-be/lib/openecomp-sdc-validation-lib/pom.xml
+++ b/openecomp-be/lib/openecomp-sdc-validation-lib/pom.xml
@@ -19,7 +19,6 @@
<module>openecomp-sdc-validation-core</module>
<module>openecomp-sdc-validation-impl</module>
<module>openecomp-sdc-validation-sdk</module>
- <module>att-sdc-validation-impl</module>
</modules>
</project>