aboutsummaryrefslogtreecommitdiffstats
path: root/kubernetes/sdc/components/sdc-wfd-fe/values.yaml
AgeCommit message (Collapse)AuthorFilesLines
2021-06-10[SDC] Update chart with service accountfarida azmy1-0/+6
Add service account to requirements.yaml, values.yaml and deployment/statefulset Issue-ID: OOM-2717 Signed-off-by: farida azmy <farida.azmy.ext@orange.com> Change-Id: I57a398865c2dea8dd5989dc2e9d80843bfabd3b5
2021-05-13Merge "[SDC] Use Startup probes"Krzysztof Opasiak1-2/+12
2021-05-05[SDC] Use Startup probesSylvain Desbureaux1-2/+12
Instead of long initial delay on readiness and liveness probes, use startup probes and be more aggressive on readiness and liveness. Issue-ID: OOM-2743 Signed-off-by: Sylvain Desbureaux <sylvain.desbureaux@orange.com> Change-Id: Ibde8d10dad150fcad5740533d0bdd0926a44ccc1
2021-05-03[SDC] Simplify cert retrieval scriptSylvain Desbureaux1-3/+3
As retrieving values is now done via a generic script, let's clean a little bit cert retrieval in order to remove unneeded part. Issue-ID: OOM-2688 Signed-off-by: Sylvain Desbureaux <sylvain.desbureaux@orange.com> Change-Id: I609be11acfcb4895354dd049839af3cffb48bcbd
2020-11-24[SDC] Uses new tpls for repos / imagesSylvain Desbureaux1-4/+0
This commit makes SDC chart to use the new generator for repositories and images. Issue-ID: OOM-2364 Signed-off-by: Sylvain Desbureaux <sylvain.desbureaux@orange.com> Change-Id: I91eb59675ef0080f67aced0ae99c238143461efe
2020-10-05[SDC] Update pod limits and timeoutsChrisC1-18/+19
Add missing common flavor limits (and revisit some values) Add fixed limits for single job containers and init containers (no need to have these configurable I think) Reworked timeouts Issue-ID: SDC-3282 Signed-off-by: ChrisC <christophe.closset@intl.att.com> Change-Id: I2eb7122eed370978876ac496a68eebb51421595a
2020-09-28[SDC] Update SDC latest images for GuilinChrisC1-1/+1
Bump sdc images to 1.7 version Issue-ID: SDC-3076 Signed-off-by: Krystian Kedron <k.kedron@partner.samsung.com> Change-Id: I496ee4f63def228cca5783127d69aee08028c27f Signed-off-by: ChrisC <christophe.closset@intl.att.com>
2020-09-09[SDC] use of certInitializer templateChrisC1-0/+134
Auto SSL certificates generation through certInitializer template for SDC Refactor to components Issue-ID: SDC-3172 Change-Id: I2c895a8d8e7c25e11a2a1e997735d2e7b2d258aa Signed-off-by: ChrisC <christophe.closset@intl.att.com>