aboutsummaryrefslogtreecommitdiffstats
path: root/vnfs/VES5.0/doxygen-1.8.12/html/examples/mux/latex/mux_8vhdl.tex
diff options
context:
space:
mode:
Diffstat (limited to 'vnfs/VES5.0/doxygen-1.8.12/html/examples/mux/latex/mux_8vhdl.tex')
-rw-r--r--vnfs/VES5.0/doxygen-1.8.12/html/examples/mux/latex/mux_8vhdl.tex19
1 files changed, 19 insertions, 0 deletions
diff --git a/vnfs/VES5.0/doxygen-1.8.12/html/examples/mux/latex/mux_8vhdl.tex b/vnfs/VES5.0/doxygen-1.8.12/html/examples/mux/latex/mux_8vhdl.tex
new file mode 100644
index 00000000..1e6cf406
--- /dev/null
+++ b/vnfs/VES5.0/doxygen-1.8.12/html/examples/mux/latex/mux_8vhdl.tex
@@ -0,0 +1,19 @@
+\hypertarget{mux_8vhdl}{}\subsection{mux.\+vhdl File Reference}
+\label{mux_8vhdl}\index{mux.\+vhdl@{mux.\+vhdl}}
+
+
+2\+:1 Mux using with-\/select
+
+
+\subsubsection*{Entities}
+\begin{DoxyCompactItemize}
+\item
+\hyperlink{classmux__using__with}{mux\+\_\+using\+\_\+with} entity
+\begin{DoxyCompactList}\small\item\em Mux entity brief description Detailed description of this mux design element. \end{DoxyCompactList}\item
+\hyperlink{classmux__using__with_1_1behavior}{behavior} architecture
+\begin{DoxyCompactList}\small\item\em Architecture definition of the M\+UX. \end{DoxyCompactList}\end{DoxyCompactItemize}
+
+
+\subsubsection{Detailed Description}
+2\+:1 Mux using with-\/select
+