summaryrefslogtreecommitdiffstats
path: root/components/model-catalog/blueprint-model/uat-blueprints/pnf_config/Templates/config-assign-restconf-configlet-template.vtl
diff options
context:
space:
mode:
authorDan Timoney <dtimoney@att.com>2019-08-09 21:49:29 +0000
committerGerrit Code Review <gerrit@onap.org>2019-08-09 21:49:29 +0000
commit4001ac13397c082ee97c7ff440fa2ead5d50b421 (patch)
tree4ef46a3908b84acb9b46919856efef6657f785dd /components/model-catalog/blueprint-model/uat-blueprints/pnf_config/Templates/config-assign-restconf-configlet-template.vtl
parent3c1781135b4029fdc657b2009259d3b8ddd8eebc (diff)
parent1e7e4a53684df04ba248c20d884ba907ca7c2870 (diff)
Merge "Add declarative acceptance tests"
Diffstat (limited to 'components/model-catalog/blueprint-model/uat-blueprints/pnf_config/Templates/config-assign-restconf-configlet-template.vtl')
-rw-r--r--components/model-catalog/blueprint-model/uat-blueprints/pnf_config/Templates/config-assign-restconf-configlet-template.vtl53
1 files changed, 53 insertions, 0 deletions
diff --git a/components/model-catalog/blueprint-model/uat-blueprints/pnf_config/Templates/config-assign-restconf-configlet-template.vtl b/components/model-catalog/blueprint-model/uat-blueprints/pnf_config/Templates/config-assign-restconf-configlet-template.vtl
new file mode 100644
index 000000000..af91ba00d
--- /dev/null
+++ b/components/model-catalog/blueprint-model/uat-blueprints/pnf_config/Templates/config-assign-restconf-configlet-template.vtl
@@ -0,0 +1,53 @@
+{
+ "ietf-restconf:yang-patch":{
+ "patch-id":"patch-1",
+ "edit":[
+ {
+ "edit-id":"edit1",
+ "operation":"merge",
+ "target":"/",
+ "value":{
+ "netconflist":{
+ "netconf":[
+ {
+ "netconf-id":"10",
+ "netconf-param":"1000"
+ }
+ ]
+ }
+ }
+ },
+ {
+ "edit-id":"edit2",
+ "operation":"merge",
+ "target":"/",
+ "value":{
+ "netconflist":{
+ "netconf":[
+ {
+ "netconf-id":"20",
+ "netconf-param":"2000"
+ }
+ ]
+ }
+ }
+ },
+ {
+ "edit-id":"edit3",
+ "operation":"merge",
+ "target":"/",
+ "value":{
+ "netconflist":{
+ "netconf":[
+ {
+ "netconf-id":"30",
+ "netconf-param":"3000"
+ }
+ ]
+ }
+ }
+ }
+
+ ]
+ }
+} \ No newline at end of file