From 0e2e6cdfb6b659c29ad1a59ac37d3a50191577a0 Mon Sep 17 00:00:00 2001 From: "Lovett, Trevor (tl2972)" Date: Thu, 16 May 2019 11:31:07 -0500 Subject: [VVP] Add test for R-100260 and fix mapping Re-arranged some helper and util functions to more logical locations. Added test to verify than an internal subnet parameter used in an incremental module is defined in the base module. Change-Id: I20369396b18820f0e321c0e75bd47446b0a7a39f Issue-ID: VVP-215 Signed-off-by: Lovett, Trevor (tl2972) --- .../pass/pass_base.yaml | 83 ++++++++++++++++++++++ 1 file changed, 83 insertions(+) create mode 100644 ice_validator/tests/fixtures/test_contrail_instance_ip_parameters/pass/pass_base.yaml (limited to 'ice_validator/tests/fixtures/test_contrail_instance_ip_parameters/pass/pass_base.yaml') diff --git a/ice_validator/tests/fixtures/test_contrail_instance_ip_parameters/pass/pass_base.yaml b/ice_validator/tests/fixtures/test_contrail_instance_ip_parameters/pass/pass_base.yaml new file mode 100644 index 0000000..5afee32 --- /dev/null +++ b/ice_validator/tests/fixtures/test_contrail_instance_ip_parameters/pass/pass_base.yaml @@ -0,0 +1,83 @@ +# -*- coding: utf8 -*- +# ============LICENSE_START==================================================== +# org.onap.vvp/validation-scripts +# =================================================================== +# Copyright © 2019 AT&T Intellectual Property. All rights reserved. +# =================================================================== +# +# Unless otherwise specified, all software contained herein is licensed +# under the Apache License, Version 2.0 (the "License"); +# you may not use this software except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# +# +# Unless otherwise specified, all documentation contained herein is licensed +# under the Creative Commons License, Attribution 4.0 Intl. (the "License"); +# you may not use this documentation except in compliance with the License. +# You may obtain a copy of the License at +# +# https://creativecommons.org/licenses/by/4.0/ +# +# Unless required by applicable law or agreed to in writing, documentation +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# ============LICENSE_END============================================ + +heat_template_version: 2015-04-30 + +description: fdsafsfsa + +parameters: + + TESTDB_int_priv_ips: + type: comma_delimited_list + description: asnfjl + + TESTDB_private_ips: + type: comma_delimited_list + description: asnfjl + + int_priv_subnet_id: + type: string + description: asnfjl + + private_v6_subnet_id: + type: string + description: asnfjl + +resources: + + TESTDB_0_int_priv_vmi_0_IP_0: + type: OS::ContrailV2::InstanceIp + properties: + virtual_machine_interface_refs: { get_resource: TESTDB_0_priv_vmi_0 } + virtual_network_refs: [{ get_resource: int_priv_network }] + instance_ip_address: { get_param: [TESTDB_int_priv_ips, 0] } + subnet_uuid: { get_param: int_priv_subnet_id } + + TESTDB_0_private_vmi_1_IP_0: + type: OS::ContrailV2::InstanceIp + properties: + virtual_machine_interface_refs: { get_resource: TESTDB_1_priv_vmi_0 } + virtual_network_refs: [{ get_param: priv_net_fqdn }] + instance_ip_address: { get_param: [TESTDB_private_ips, 1] } + subnet_uuid: { get_param: private_v6_subnet_id } + + a_subnet: + type: OS::Neutron::Subnet + +outputs: + int_priv_subnet_id: + value: { get_resource: a_subnet } -- cgit 1.2.3-korg