From 293cdf7354a3ef724b8ba9566e71ea29b4273387 Mon Sep 17 00:00:00 2001 From: "Lovett, Trevor" Date: Fri, 8 Feb 2019 15:38:18 -0600 Subject: [VVP] Improved message on test_base_template_name Change-Id: I5974ed3b8ff6e20ab747b3e96af37f84a133ecbb Issue-ID: VVP-141 Signed-off-by: Lovett, Trevor --- .../fail/multiple_bases/base_well_named.yaml | 56 ++++++++++++++++++++++ .../fail/multiple_bases/well_base_named.yaml | 56 ++++++++++++++++++++++ 2 files changed, 112 insertions(+) create mode 100644 ice_validator/tests/fixtures/test_base_template_names/fail/multiple_bases/base_well_named.yaml create mode 100644 ice_validator/tests/fixtures/test_base_template_names/fail/multiple_bases/well_base_named.yaml (limited to 'ice_validator/tests/fixtures/test_base_template_names/fail') diff --git a/ice_validator/tests/fixtures/test_base_template_names/fail/multiple_bases/base_well_named.yaml b/ice_validator/tests/fixtures/test_base_template_names/fail/multiple_bases/base_well_named.yaml new file mode 100644 index 0000000..ac42973 --- /dev/null +++ b/ice_validator/tests/fixtures/test_base_template_names/fail/multiple_bases/base_well_named.yaml @@ -0,0 +1,56 @@ +# -*- coding: utf8 -*- +# ============LICENSE_START======================================================= +# org.onap.vvp/validation-scripts +# =================================================================== +# Copyright © 2017 AT&T Intellectual Property. All rights reserved. +# =================================================================== +# +# Unless otherwise specified, all software contained herein is licensed +# under the Apache License, Version 2.0 (the "License"); +# you may not use this software except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# +# +# Unless otherwise specified, all documentation contained herein is licensed +# under the Creative Commons License, Attribution 4.0 Intl. (the "License"); +# you may not use this documentation except in compliance with the License. +# You may obtain a copy of the License at +# +# https://creativecommons.org/licenses/by/4.0/ +# +# Unless required by applicable law or agreed to in writing, documentation +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# ============LICENSE_END============================================ +# +# +--- +description: + base +parameters: + vm_type_volume_name_0: + type: string + vm_type_volume_size_0: + type: number + +resources: + server_0: + type: OS::Nova::Server + properties: + name: {get_param: server_name_0} + +outputs: + vm_type_volume_id_0: + value: {get_resource: vm_type_volume_0} diff --git a/ice_validator/tests/fixtures/test_base_template_names/fail/multiple_bases/well_base_named.yaml b/ice_validator/tests/fixtures/test_base_template_names/fail/multiple_bases/well_base_named.yaml new file mode 100644 index 0000000..ac42973 --- /dev/null +++ b/ice_validator/tests/fixtures/test_base_template_names/fail/multiple_bases/well_base_named.yaml @@ -0,0 +1,56 @@ +# -*- coding: utf8 -*- +# ============LICENSE_START======================================================= +# org.onap.vvp/validation-scripts +# =================================================================== +# Copyright © 2017 AT&T Intellectual Property. All rights reserved. +# =================================================================== +# +# Unless otherwise specified, all software contained herein is licensed +# under the Apache License, Version 2.0 (the "License"); +# you may not use this software except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# +# +# Unless otherwise specified, all documentation contained herein is licensed +# under the Creative Commons License, Attribution 4.0 Intl. (the "License"); +# you may not use this documentation except in compliance with the License. +# You may obtain a copy of the License at +# +# https://creativecommons.org/licenses/by/4.0/ +# +# Unless required by applicable law or agreed to in writing, documentation +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +# ============LICENSE_END============================================ +# +# +--- +description: + base +parameters: + vm_type_volume_name_0: + type: string + vm_type_volume_size_0: + type: number + +resources: + server_0: + type: OS::Nova::Server + properties: + name: {get_param: server_name_0} + +outputs: + vm_type_volume_id_0: + value: {get_resource: vm_type_volume_0} -- cgit 1.2.3-korg