From 62cd6aaaf74aa91ee0037c0e155c8e7284f07567 Mon Sep 17 00:00:00 2001 From: Arthur Martella Date: Fri, 8 Sep 2017 13:27:46 -0400 Subject: 1710 Rebase - Second Attempt This commit rebases changes from openecomp-mso/internal-staging-1710 up to and including this codecloud commit: 54483fc6606ddb1591a2e9da61bff8712325f924 Wed Sep 6 18:12:56 2017 -0400 Rebasing was done on a branch on top of this commit in so/master in ONAP: 93fbdfbe46104f8859d4754040f979cb7997c157 Thu Sep 7 16:42:59 2017 +0000 Change-Id: I4ad9abf40da32bf5bdca43e868b8fa2dbcd9dc59 Issue-id: SO-107 Signed-off-by: Arthur Martella --- .../process/UpdateVfModuleVolumeInfraV1.bpmn | 345 +++++++++++---------- 1 file changed, 173 insertions(+), 172 deletions(-) (limited to 'bpmn/MSOInfrastructureBPMN/src/main/resources/process/UpdateVfModuleVolumeInfraV1.bpmn') diff --git a/bpmn/MSOInfrastructureBPMN/src/main/resources/process/UpdateVfModuleVolumeInfraV1.bpmn b/bpmn/MSOInfrastructureBPMN/src/main/resources/process/UpdateVfModuleVolumeInfraV1.bpmn index 96c0681c48..15b5bc7fcf 100644 --- a/bpmn/MSOInfrastructureBPMN/src/main/resources/process/UpdateVfModuleVolumeInfraV1.bpmn +++ b/bpmn/MSOInfrastructureBPMN/src/main/resources/process/UpdateVfModuleVolumeInfraV1.bpmn @@ -1,44 +1,45 @@ - + SequenceFlow_1 - + - - - - - + + + + + SequenceFlow_13 SequenceFlow_14 - + - http-connector - ${URN_mso_adapters_db_endpoint} + ${URN_mso_openecomp_adapters_db_endpoint} ${UPDVfModVol_updateInfraRequest} aapplication/soap+xml + #{BasicAuthHeaderValueDB} POST ${response} ${statusCode} + http-connector SequenceFlow_11 SequenceFlow_12 - + SequenceFlow_22 SequenceFlow_21 @@ -46,7 +47,7 @@ def uvmv = new UpdateVfModuleVolumeInfraV1() uvmv.executeMethod('prepVnfAdapterRest', execution, isDebugLogEnabled)]]> - + SequenceFlow_12 SequenceFlow_13 @@ -54,20 +55,20 @@ uvmv.executeMethod('prepVnfAdapterRest', execution, isDebugLogEnabled)]]> - + - - - - - - + + + + + + SequenceFlow_21 SequenceFlow_19 - + SequenceFlow_19 SequenceFlow_11 @@ -75,14 +76,14 @@ uvmv.executeMethod('prepCompletionHandlerRequest', execution, UPDVfModVol_reques def uvmv = new UpdateVfModuleVolumeInfraV1() uvmv.executeMethod('prepDbInfraDbRequest', execution, isDebugLogEnabled)]]> - + SequenceFlow_14 SequenceFlow_1 - + SequenceFlow_noVfModuleRelation SequenceFlow_personaModelIdMatchYes @@ -91,13 +92,13 @@ execution.setVariable("UpdateVfModuleVolumeSuccessIndicator", true)]]> SequenceFlow_7 - + SequenceFlow_6 - + - + SequenceFlow_7 SequenceFlow_4 @@ -105,24 +106,24 @@ execution.setVariable("UpdateVfModuleVolumeSuccessIndicator", true)]]> - + - - - - - - - + + + + + + + SequenceFlow_4 SequenceFlow_6 - + - - + + SequenceFlow_8 SequenceFlow_personaModelIdMatchYes SequenceFlow_personaModelidMatchNo @@ -130,7 +131,7 @@ uvmv.executeMethod('prepFalloutHandler', execution, isDebugLogEnabled)]]> #{UPDVfModVol_modelInvariantId == UPDVfModVol_personaModelId} - + SequenceFlow_YesVfModuleRelation SequenceFlow_8 @@ -138,7 +139,7 @@ uvmv.executeMethod('prepFalloutHandler', execution, isDebugLogEnabled)]]> - + SequenceFlow_personaModelidMatchNo SequenceFlow_18 @@ -146,10 +147,10 @@ uvmv.executeMethod('queryAAIForVfModule', execution, isDebugLogEnabled)]]> - + SequenceFlow_18 - + SequenceFlow_3 @@ -158,11 +159,11 @@ uvmv.executeMethod('handlePersonaModelIdMismatch', execution, isDebugLogEnabled) def uvmv = new UpdateVfModuleVolumeInfraV1() uvmv.executeMethod('preProcessRequest', execution, isDebugLogEnabled)]]> - + SequenceFlow_3 - + SequenceFlow_2 SequenceFlow_20 @@ -170,22 +171,22 @@ uvmv.executeMethod('preProcessRequest', execution, isDebugLogEnabled)]]> - + SequenceFlow_tenantIsMatch SequenceFlow_YesVfModuleRelation SequenceFlow_noVfModuleRelation - #{UPDVfModVol_relatedVfModuleLink != ''} + - + SequenceFlow_15 SequenceFlow_NoTenantMatch SequenceFlow_tenantIsMatch - + #{UPDVfModVol_tenantId == UPDVfModVol_volumeGroupTenantId} @@ -196,10 +197,10 @@ uvmv.executeMethod('sendSynchResponse', execution, isDebugLogEnabled)]]> - + SequenceFlow_17 - + SequenceFlow_5 @@ -208,7 +209,7 @@ uvmv.executeMethod('handleTenantIdMismatch', execution, isDebugLogEnabled)]]> - + SequenceFlow_20 SequenceFlow_5 @@ -216,301 +217,301 @@ uvmv.executeMethod('queryAAIForVolumeGroup', execution, isDebugLogEnabled)]]> - + - + - + - + - - - - + + + + - + - + - + - + - + - - + + - + - + - + - - + + - + - - + + - + - + - + - - + + - + - - + + - + - + - + - + - + - - + + - + - - + + - + - + - + - - + + - + - - + + - + - - + + - + - - - - + + + + - + - + - - + + - + - + - + - + - + - + - - + + - + - + - + - - + + - + - + - + - - + + - + - - + + - + - + - + - - - - + + + + - + - + - + - + - - - + + + - + - - + + - + - + - + - - - - + + + + - + - - - + + + - + - + - + - + - - + + - + - - + + - + - - + + - \ No newline at end of file + -- cgit 1.2.3-korg