From 7f70731621bcd7cdb4f4157eba0d66b3638f1519 Mon Sep 17 00:00:00 2001 From: "Cheung, Pat" Date: Thu, 4 Oct 2018 18:35:55 +0000 Subject: self-serve dg patch inclues new self-serve forking logic, added silentFailure to for loops, not to set cap name to INPUT for generate-name Change-Id: Idd3deb016533dc70611d60dd289f4c4bc40d3136 Issue-ID: CCSDK-604 Signed-off-by: Cheung, Pat Former-commit-id: 7209c246e382048781f166c2b3eeab24be7e9815 --- ...OURCE-API_self-serve-vfmodule-changeassign.json | 296 ++++++++++++--------- 1 file changed, 168 insertions(+), 128 deletions(-) (limited to 'platform-logic/generic-resource-api/src/main/json/GENERIC-RESOURCE-API_self-serve-vfmodule-changeassign.json') diff --git a/platform-logic/generic-resource-api/src/main/json/GENERIC-RESOURCE-API_self-serve-vfmodule-changeassign.json b/platform-logic/generic-resource-api/src/main/json/GENERIC-RESOURCE-API_self-serve-vfmodule-changeassign.json index e6ee122f..6cbadd20 100644 --- a/platform-logic/generic-resource-api/src/main/json/GENERIC-RESOURCE-API_self-serve-vfmodule-changeassign.json +++ b/platform-logic/generic-resource-api/src/main/json/GENERIC-RESOURCE-API_self-serve-vfmodule-changeassign.json @@ -1,20 +1,20 @@ [ { - "id": "22eab388.16eaf4", + "id": "f70303c0.672d3", "type": "dgstart", "name": "DGSTART", "outputs": 1, - "x": 261.25, - "y": 35, - "z": "46b207bc.3f592", + "x": 104, + "y": 45, + "z": "726449b2.4963", "wires": [ [ - "94105a8.3e56d28" + "b30ec3af.cbe5d" ] ] }, { - "id": "94105a8.3e56d28", + "id": "b30ec3af.cbe5d", "type": "service-logic", "name": "GENERIC-RESOURCE-API ${project.version}", "module": "GENERIC-RESOURCE-API", @@ -22,320 +22,360 @@ "comments": "", "xml": "", "outputs": 1, - "x": 537.25, - "y": 36, - "z": "46b207bc.3f592", + "x": 380, + "y": 46, + "z": "726449b2.4963", "wires": [ [ - "31e05f30.9bc35" + "dd0487fb.01a09" ] ] }, { - "id": "31e05f30.9bc35", + "id": "dd0487fb.01a09", "type": "method", "name": "self-serve-vfmodule-changeassign ", "xml": "\n", "comments": "", "outputs": 1, - "x": 870.25, - "y": 37, - "z": "46b207bc.3f592", + "x": 713, + "y": 47, + "z": "726449b2.4963", "wires": [ [ - "ee5ed489.b65008" + "ced5c4eb.661a9" ] ] }, { - "id": "ee5ed489.b65008", + "id": "ced5c4eb.661a9", "type": "block", "name": "block atomic", "xml": "\n", "atomic": "false", "comments": "", "outputs": 1, - "x": 279.75, - "y": 118, - "z": "46b207bc.3f592", + "x": 122.5, + "y": 128, + "z": "726449b2.4963", "wires": [ [ - "95b7958e.623f", - "da8442bf.f537b" + "ae1af1b3.fcf59", + "e4e58c8c.cef7c8" ] ] }, { - "id": "42b47e59.9697a8", + "id": "f3250dd4.8f7b3", "type": "set", "name": "set", - "xml": "\n \n \n ", + "xml": "\n \n \n \n \n \n ", "comments": "", - "x": 1496.25, - "y": 536, - "z": "46b207bc.3f592", + "x": 2051, + "y": 395, + "z": "726449b2.4963", "wires": [] }, { - "id": "95b7958e.623f", + "id": "ae1af1b3.fcf59", "type": "switchNode", "name": "switch vf-module-input-parameters", "xml": "\n", "comments": "", "outputs": 1, - "x": 522.25, - "y": 161, - "z": "46b207bc.3f592", + "x": 365, + "y": 171, + "z": "726449b2.4963", "wires": [ [ - "18fa94ab.fe4b7b" + "85ade545.2ec22" ] ] }, { - "id": "18fa94ab.fe4b7b", + "id": "85ade545.2ec22", "type": "outcome", "name": "null", "xml": "", "comments": "", "outputs": 1, - "x": 752.3928833007812, - "y": 160.85714721679688, - "z": "46b207bc.3f592", + "x": 595.1428833007812, + "y": 170.85714721679688, + "z": "726449b2.4963", "wires": [ [ - "bf2bc904.dd0a38" + "4c3d2e9.5bda2d" ] ] }, { - "id": "bf2bc904.dd0a38", + "id": "4c3d2e9.5bda2d", "type": "returnFailure", "name": "return failure", "xml": "\n\t\n\t\n \n", "comments": "", - "x": 925.25, - "y": 161, - "z": "46b207bc.3f592", + "x": 768, + "y": 171, + "z": "726449b2.4963", "wires": [] }, { - "id": "da8442bf.f537b", + "id": "e4e58c8c.cef7c8", "type": "for", "name": "for vf-module-input-parameters.param index i", "xml": "", "comments": "", "outputs": 1, - "x": 555.25, - "y": 230, - "z": "46b207bc.3f592", + "x": 398, + "y": 240, + "z": "726449b2.4963", "wires": [ [ - "977e46f6.877358" + "142575c6.6caafa" ] ] }, { - "id": "977e46f6.877358", - "type": "block", - "name": "block : atomic", - "xml": "", - "atomic": "true", - "comments": "", - "outputs": 1, - "x": 793.25, - "y": 285, - "z": "46b207bc.3f592", - "wires": [ - [ - "201c0f41.e053b8" - ] - ] - }, - { - "id": "201c0f41.e053b8", + "id": "142575c6.6caafa", "type": "switchNode", - "name": "switch", - "xml": "\n\n", + "name": "switch service param length null", + "xml": "\n\n", "comments": "", "outputs": 1, - "x": 964.25, - "y": 285, - "z": "46b207bc.3f592", + "x": 754, + "y": 241, + "z": "726449b2.4963", "wires": [ [ - "108e76a3.9cd481" + "6ebd9074.f07218" ] ] }, { - "id": "108e76a3.9cd481", + "id": "6ebd9074.f07218", "type": "other", - "name": "other", - "xml": "\n", + "name": "false", + "xml": "\n", "comments": "", "outputs": 1, - "x": 712.25, - "y": 369, - "z": "46b207bc.3f592", + "x": 988, + "y": 240, + "z": "726449b2.4963", "wires": [ [ - "4d1767d8.2a9c3" + "e0ed93ed.b2b8b" ] ] }, { - "id": "4d1767d8.2a9c3", + "id": "590e174a.65d8a", "type": "for", "name": "for service-data.vnf-parameters-data.param index j", "xml": "", "comments": "", "outputs": 1, - "x": 1004.25, - "y": 369, - "z": "46b207bc.3f592", + "x": 1162, + "y": 394, + "z": "726449b2.4963", "wires": [ [ - "8891bef1.8502d" + "ac5e4634.0b2448" ] ] }, { - "id": "8891bef1.8502d", + "id": "ac5e4634.0b2448", "type": "switchNode", - "name": "switch input vnf-name", + "name": "switch match for vnf-name", "xml": "\n", "comments": "", "outputs": 1, - "x": 947.25, - "y": 463, - "z": "46b207bc.3f592", + "x": 1503, + "y": 395, + "z": "726449b2.4963", "wires": [ [ - "5274d8ce.a623c", - "654491e7.62d698" + "f7d97b3d.e60038" ] ] }, { - "id": "654491e7.62d698", + "id": "f7d97b3d.e60038", "type": "not-found", "name": "true", "xml": "\n", "comments": "", "outputs": 1, - "x": 1160.25, - "y": 536, - "z": "46b207bc.3f592", + "x": 1698, + "y": 394, + "z": "726449b2.4963", "wires": [ [ - "4262d0f1.813fb" + "deae5a01.337f58" ] ] }, { - "id": "5274d8ce.a623c", + "id": "e69f3b51.b837b8", "type": "not-found", "name": "false", "xml": "\n", "comments": "", "outputs": 1, - "x": 1168.25, - "y": 418, - "z": "46b207bc.3f592", + "x": 1301, + "y": 473, + "z": "726449b2.4963", "wires": [ [ - "ff6ddcf3.7baac8" + "c754e2e.93a8ba" ] ] }, { - "id": "ff6ddcf3.7baac8", + "id": "c754e2e.93a8ba", "type": "returnFailure", "name": "return failure", "xml": "\n\t\n\t\n \n", "comments": "", - "x": 1373.25, - "y": 417, - "z": "46b207bc.3f592", + "x": 1450, + "y": 472, + "z": "726449b2.4963", "wires": [] }, { - "id": "4262d0f1.813fb", + "id": "deae5a01.337f58", "type": "block", "name": "block : atomic", "xml": "", "atomic": "true", "comments": "", "outputs": 1, - "x": 1321.25, - "y": 535, - "z": "46b207bc.3f592", + "x": 1854, + "y": 395, + "z": "726449b2.4963", "wires": [ [ - "42b47e59.9697a8", - "bd5eede2.612be8" + "f3250dd4.8f7b3", + "c0d5c4ec.a72a3", + "3af469f6.38cc1e" ] ] }, { - "id": "bd5eede2.612be8", + "id": "c0d5c4ec.a72a3", "type": "call", "name": "call self-serve-generate-name-changeassign", "xml": "\n", "comments": "", "outputs": 1, - "x": 1613.25, - "y": 612, - "z": "46b207bc.3f592", + "x": 2160, + "y": 458, + "z": "726449b2.4963", "wires": [ [ - "4bb46cb.5d8d914", - "566ddacf.c229b4" + "e0b4c040.c1636", + "a668b860.256a88" ] ] }, { - "id": "4bb46cb.5d8d914", + "id": "e0b4c040.c1636", "type": "failure", "name": "failure", "xml": "\n", "comments": "", "outputs": 1, - "x": 1863.25, - "y": 584, - "z": "46b207bc.3f592", + "x": 2436, + "y": 422, + "z": "726449b2.4963", "wires": [ [ - "b50ec2c9.740af8" + "e7042dd5.9e899" ] ] }, { - "id": "566ddacf.c229b4", + "id": "a668b860.256a88", "type": "failure", "name": "not-found", "xml": "\n", "comments": "", "outputs": 1, - "x": 1873.25, - "y": 652, - "z": "46b207bc.3f592", + "x": 2438, + "y": 490, + "z": "726449b2.4963", "wires": [ [ - "b50ec2c9.740af8" + "e7042dd5.9e899" ] ] }, { - "id": "b50ec2c9.740af8", + "id": "e7042dd5.9e899", "type": "returnFailure", "name": "return failure", "xml": "\n\t\n\t\n \n", "comments": "", - "x": 2062.25, - "y": 583, - "z": "46b207bc.3f592", + "x": 2626, + "y": 452, + "z": "726449b2.4963", "wires": [] + }, + { + "id": "e0ed93ed.b2b8b", + "type": "block", + "name": "block : atomic", + "xml": "", + "atomic": "true", + "comments": "", + "outputs": 1, + "x": 838, + "y": 313, + "z": "726449b2.4963", + "wires": [ + [ + "12c4ca5c.0c4496", + "590e174a.65d8a", + "d31f5e36.31a7c8" + ] + ] + }, + { + "id": "12c4ca5c.0c4496", + "type": "set", + "name": "set", + "xml": "\n ", + "comments": "", + "x": 1029, + "y": 313, + "z": "726449b2.4963", + "wires": [] + }, + { + "id": "3af469f6.38cc1e", + "type": "returnSuccess", + "name": "return success", + "xml": "\n", + "comments": "", + "x": 2081, + "y": 535, + "z": "726449b2.4963", + "wires": [] + }, + { + "id": "d31f5e36.31a7c8", + "type": "switchNode", + "name": "switch if found vnf_name", + "xml": "\n", + "comments": "", + "outputs": 1, + "x": 1081, + "y": 473, + "z": "726449b2.4963", + "wires": [ + [ + "e69f3b51.b837b8" + ] + ] } ] \ No newline at end of file -- cgit 1.2.3-korg