From a9989415cde7d76ab11e485556a05d9b3baa4019 Mon Sep 17 00:00:00 2001 From: Marcin Migdal Date: Thu, 6 Jun 2019 12:55:11 +0200 Subject: Fix robot cases due to attachment-point Change-Id: I36ad6450b7a600f09d600913cd27efafcab690bd Issue-ID: INT-1097 Signed-off-by: Marcin Migdal --- .../prh-testcases/assets/json_events/event_with_all_fields.json | 2 +- .../json_events/event_with_optional_registration_fields_all_filled.json | 2 +- .../json_events/ves-event-pnf-registration-with-attachment-point.json | 2 +- .../assets/ves-event-with-attachment-point/expected-logical-link.json | 2 +- .../ves-event-with-attachment-point/expected-pnf-ready-event.json | 2 +- .../prh-testcases/assets/ves-event-with-attachment-point/ves-event.json | 2 +- .../ves-event-with-empty-attachment-point/expected-pnf-ready-event.json | 2 +- .../assets/ves-event-with-empty-attachment-point/ves-event.json | 2 +- 8 files changed, 8 insertions(+), 8 deletions(-) (limited to 'tests/dcaegen2/prh-testcases/assets') diff --git a/tests/dcaegen2/prh-testcases/assets/json_events/event_with_all_fields.json b/tests/dcaegen2/prh-testcases/assets/json_events/event_with_all_fields.json index 13c564c1..cd3e73f1 100644 --- a/tests/dcaegen2/prh-testcases/assets/json_events/event_with_all_fields.json +++ b/tests/dcaegen2/prh-testcases/assets/json_events/event_with_all_fields.json @@ -13,7 +13,7 @@ "modelNumber": "modelNumber", "unitType": "unitType", "additionalFields": { - "attachmentPoint": "bla-bla-30-3", + "attachment-point": "bla-bla-30-3", "svlan": "1005", "cvlan": "678" } diff --git a/tests/dcaegen2/prh-testcases/assets/json_events/event_with_optional_registration_fields_all_filled.json b/tests/dcaegen2/prh-testcases/assets/json_events/event_with_optional_registration_fields_all_filled.json index 9be02f10..0f2af3cd 100644 --- a/tests/dcaegen2/prh-testcases/assets/json_events/event_with_optional_registration_fields_all_filled.json +++ b/tests/dcaegen2/prh-testcases/assets/json_events/event_with_optional_registration_fields_all_filled.json @@ -14,7 +14,7 @@ "modelNumber": "modelNumber", "unitType": "unitType", "additionalFields": { - "attachmentPoint": "bla-bla-30-3", + "attachment-point": "bla-bla-30-3", "svlan": "1005", "cvlan": "678" } diff --git a/tests/dcaegen2/prh-testcases/assets/json_events/ves-event-pnf-registration-with-attachment-point.json b/tests/dcaegen2/prh-testcases/assets/json_events/ves-event-pnf-registration-with-attachment-point.json index 054774c5..97d2dffa 100644 --- a/tests/dcaegen2/prh-testcases/assets/json_events/ves-event-pnf-registration-with-attachment-point.json +++ b/tests/dcaegen2/prh-testcases/assets/json_events/ves-event-pnf-registration-with-attachment-point.json @@ -11,7 +11,7 @@ "modelNumber": "modelNumber", "unitType": "unitType", "additionalFields": { - "attachmentPoint": "bla-bla-30-3", + "attachment-point": "bla-bla-30-3", "svlan": "1005", "cvlan": "678" } diff --git a/tests/dcaegen2/prh-testcases/assets/ves-event-with-attachment-point/expected-logical-link.json b/tests/dcaegen2/prh-testcases/assets/ves-event-with-attachment-point/expected-logical-link.json index c48c841c..95c5570a 100644 --- a/tests/dcaegen2/prh-testcases/assets/ves-event-with-attachment-point/expected-logical-link.json +++ b/tests/dcaegen2/prh-testcases/assets/ves-event-with-attachment-point/expected-logical-link.json @@ -1,6 +1,6 @@ { "link-name": "bbs-link", - "link-type": "attachmentPoint", + "link-type": "attachment-point", "relationship-list": [ { "relationship": { diff --git a/tests/dcaegen2/prh-testcases/assets/ves-event-with-attachment-point/expected-pnf-ready-event.json b/tests/dcaegen2/prh-testcases/assets/ves-event-with-attachment-point/expected-pnf-ready-event.json index 0ccc1dfb..5c77e125 100644 --- a/tests/dcaegen2/prh-testcases/assets/ves-event-with-attachment-point/expected-pnf-ready-event.json +++ b/tests/dcaegen2/prh-testcases/assets/ves-event-with-attachment-point/expected-pnf-ready-event.json @@ -1,7 +1,7 @@ [ { "additionalFields": { - "attachmentPoint": "bbs-link" + "attachment-point": "bbs-link" }, "correlationId": "NOK6061ZW9" } diff --git a/tests/dcaegen2/prh-testcases/assets/ves-event-with-attachment-point/ves-event.json b/tests/dcaegen2/prh-testcases/assets/ves-event-with-attachment-point/ves-event.json index 9f024983..84e52233 100644 --- a/tests/dcaegen2/prh-testcases/assets/ves-event-with-attachment-point/ves-event.json +++ b/tests/dcaegen2/prh-testcases/assets/ves-event-with-attachment-point/ves-event.json @@ -34,7 +34,7 @@ "oamV6IpAddress": "val4", "softwareVersion": "val7", "additionalFields": { - "attachmentPoint": "bbs-link" + "attachment-point": "bbs-link" } } } diff --git a/tests/dcaegen2/prh-testcases/assets/ves-event-with-empty-attachment-point/expected-pnf-ready-event.json b/tests/dcaegen2/prh-testcases/assets/ves-event-with-empty-attachment-point/expected-pnf-ready-event.json index d2cf9547..363ff3ff 100644 --- a/tests/dcaegen2/prh-testcases/assets/ves-event-with-empty-attachment-point/expected-pnf-ready-event.json +++ b/tests/dcaegen2/prh-testcases/assets/ves-event-with-empty-attachment-point/expected-pnf-ready-event.json @@ -1,7 +1,7 @@ [ { "additionalFields": { - "attachmentPoint": "" + "attachment-point": "" }, "correlationId": "NOK6061ZW8" } diff --git a/tests/dcaegen2/prh-testcases/assets/ves-event-with-empty-attachment-point/ves-event.json b/tests/dcaegen2/prh-testcases/assets/ves-event-with-empty-attachment-point/ves-event.json index c1b6dc2c..fda6ef10 100644 --- a/tests/dcaegen2/prh-testcases/assets/ves-event-with-empty-attachment-point/ves-event.json +++ b/tests/dcaegen2/prh-testcases/assets/ves-event-with-empty-attachment-point/ves-event.json @@ -34,7 +34,7 @@ "oamV6IpAddress": "val4", "softwareVersion": "val7", "additionalFields": { - "attachmentPoint": "" + "attachment-point": "" } } } -- cgit 1.2.3-korg