From 13b9505921e2cbbd4b155a78bfdaa5caa3375ec0 Mon Sep 17 00:00:00 2001 From: Ramya Balaji Date: Tue, 2 Jan 2018 21:58:59 -0500 Subject: Updated SDC listener and dependent bundles Changes related to SDC adapter.Also includes sequence generator changes and changes to appc-dg-shared. Issue-ID: APPC-355 Change-Id: Ib8a0b1d304199db6d2595291539b266885842d63 Signed-off-by: Ramya Balaji --- .../src/test/resources/input/no-strategy.json | 150 +++++++-------------- 1 file changed, 48 insertions(+), 102 deletions(-) (limited to 'appc-sequence-generator/appc-sequence-generator-bundle/src/test/resources/input/no-strategy.json') diff --git a/appc-sequence-generator/appc-sequence-generator-bundle/src/test/resources/input/no-strategy.json b/appc-sequence-generator/appc-sequence-generator-bundle/src/test/resources/input/no-strategy.json index 1371d2273..1b5ee9477 100644 --- a/appc-sequence-generator/appc-sequence-generator-bundle/src/test/resources/input/no-strategy.json +++ b/appc-sequence-generator/appc-sequence-generator-bundle/src/test/resources/input/no-strategy.json @@ -1,194 +1,140 @@ -/*- - * ============LICENSE_START======================================================= - * ONAP : APPC - * ================================================================================ - * Copyright (C) 2017 AT&T Intellectual Property. All rights reserved. - * ================================================================================ - * Copyright (C) 2017 Amdocs - * ============================================================================= - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * - * ECOMP is a trademark and service mark of AT&T Intellectual Property. - * ============LICENSE_END========================================================= - */ - - { - "request-info": - { + "request-info": { "action": "Start", "action-level": "vnf", - "action-identifier": - { + "action-identifier": { "vnf-id": "dbgx0001v" }, "payload": "ABC" }, - "inventory-info": - { - "vnf-info": - { + "inventory-info": { + "vnf-info": { "vnf-id" : "abc", - "vnf-name": "vVNF", - "vnf-type": "vVNF", + "vnf-name": "vSCP", + "vnf-type": "vSCP", "vnf-version" : "1.0", "vm": [ { - "vserver-id" : "vnfc2_vserverid1", + "vserver-id" : "be_vserverid1", "vnfc": { - "vnfc-type": "VNFC2", - "vnfc-name": "VNFC2 - Name" + "vnfc-type": "BE", + "vnfc-name": "BE - Name" } }, { - "vserver-id" : "vnfc3_vserverid1", + "vserver-id" : "fe_vserverid1", "vnfc": { - "vnfc-type": "VNFC3", - "vnfc-name": "VNFC3 - Name" + "vnfc-type": "FE", + "vnfc-name": "FE - Name" } }, { - "vserver-id" : "vnfc3_vserverid2", + "vserver-id" : "fe_vserverid2", "vnfc": { - "vnfc-type": "VNFC3", - "vnfc-name": "VNFC3 - Name" + "vnfc-type": "FE", + "vnfc-name": "FE - Name" } }, { - "vserver-id" : "vnfc2_vserverid2", + "vserver-id" : "be_vserverid2", "vnfc": { - "vnfc-type": "VNFC2", - "vnfc-name": "VNFC2 - Name" + "vnfc-type": "BE", + "vnfc-name": "BE - Name" } }, { - "vserver-id" : "vnfc2_vserverid3", + "vserver-id" : "be_vserverid3", "vnfc": { - "vnfc-type": "VNFC2", - "vnfc-name": "VNFC2 - Name" + "vnfc-type": "BE", + "vnfc-name": "BE - Name" } }, { - "vserver-id" : "vnfc1_vserverid1", + "vserver-id" : "smp_vserverid1", "vnfc": { - "vnfc-type": "VNFC1", - "vnfc-name": "VNFC1 - Name" + "vnfc-type": "SMP", + "vnfc-name": "SMP - Name" } }, { - "vserver-id" : "vnfc1_vserverid2", + "vserver-id" : "smp_vserverid2", "vnfc": { - "vnfc-type": "VNFC1", - "vnfc-name": "VNFC1 - Name" + "vnfc-type": "SMP", + "vnfc-name": "SMP - Name" } }, { - "vserver-id" : "vnfc2_vserverid4", + "vserver-id" : "be_vserverid4", "vnfc": { - "vnfc-type": "VNFC2", - "vnfc-name": "VNFC2 - Name" + "vnfc-type": "BE", + "vnfc-name": "BE - Name" } }, { - "vserver-id" : "vnfc2_vserverid5", + "vserver-id" : "be_vserverid5", "vnfc": { - "vnfc-type": "VNFC2", - "vnfc-name": "VNFC2 - Name" + "vnfc-type": "BE", + "vnfc-name": "BE - Name" } } ] } }, - "dependency-info": - { - "vnfcs" : - [ + "dependency-info": { + "vnfcs" : [ { - "vnfc-type" : "VNFC2", + "vnfc-type" : "BE", "mandatory" : "true", "resilience": "Active-Active", - "parents" : - [ - "VNFC1" + "parents" : [ + "SMP" ] }, { - "vnfc-type" : "VNFC3", + "vnfc-type" : "FE", "mandatory" : "true", "resilience": "Active-Active", - "parents" : - [ - "VNFC2" + "parents" : [ + "BE" ] }, { - "vnfc-type" : "VNFC1", + "vnfc-type" : "SMP", "mandatory" : "true", "resilience": "Active-Passive", "parents" : [] } ] }, - "tunable-parameters": - { + "tunable-parameters": { "strategy" : "", "wait-time": "120", "retry-count": "5" }, - "capabilities" : - { - "vnf": - [ - "Stop", - "Start", - "StartApplication", - "StopApplication" - ], - "vf-module": - [ - "Stop", - "Start" - ], - "vm" : - [ - "Stop", - "Start" - ], - "vnfc": - [ - "StopApplication", - "StartApplication", - "HealthCheck" - ] + "capabilities" : { + "vnf": ["Stop", "Start", "StartApplication","StopApplication"], + "vf-module": ["Stop", "Start"], + "vm" : ["Stop", "Start"], + "vnfc": ["StopApplication", "StartApplication","HealthCheck"] } } -- cgit 1.2.3-korg